一乐电子

 找回密码
 请使用微信账号登录和注册会员

QQ登录

只需一步,快速开始

微信扫码登录

手机号码,快捷登录

手机号码,快捷登录

搜索
查看: 3967|回复: 5

一段二段三段状态机(FSM)之间的区别

[复制链接]
发表于 2013-9-7 09:23 | 显示全部楼层 |阅读模式
之前一直烦脑我写的代码好难维护,在网上看多了发现人家说三段状态机多么多么的好,我就马上去查什么是三段式状态机。发现我之前写的全是一段式代码,怪不得我老是没办法提高自己的水平。7 t5 h' q& a6 m! Z6 c
所以我在网上找到一些特别有用的二段和三段式写法不但是给自己看的也是为提高自己的技术的各位看的。
) [: n) C' Q2 m# R' l4 C
- k  e/ B6 y; e( f1 W2 i
  `4 {+ [; {$ g9 P5 h7 g

Abstract
& _' X: Z, u4 c; H1 g9 G# NFSM在數位電路中非常重要,藉由FSM,可以讓數位電路也能循序地執行起演算法。本文將詳細討論各種FSM coding style的優缺點,並歸納出推薦的coding style。

Introduction" {7 {. L. E! I( Z5 R1 H
使用環境:Debussy 5.4 v9 + ModelSim SE 6.3e + Quartus II 8.1

本文將討論以下主題:

1.Moore FSM的架構

2.Moore FSM各種coding style比較

3.Mealy FSM架構

4.Mealy FSM各種coding style比較

5.實務上推薦的coding style

6.Conclusion

若要讓數位電路也能循序地執行演算法,最簡單的方式可以使用D-FF產生counter,根據counter的值去決定要執行不同的程式碼,如此也能達到使數位電路循序執行演算法的目的,不過這種方式僅適用於很簡單的演算法,在一般規模的演算法若使用counter方式,程式碼將不容易維護,所以實務上會使用FSM方式來實現演算法。

其實FSM方式也是利用counter來實現,所謂的counter,並不是只有counter = counter + 1才算是counter,FSM的state register就是廣義的counter,只是這種counter不是一直加1而已,而是有自己的遞增規則。FSM只是提供了一種較為高階與較容易維護的方式來實現演算法。

Moore FSM架構

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106052038383584.gif

一般在寫FSM時,會以Moore FSM為主,所以先討論Moore。由上圖可知,Moore FSM內部由3個block所構成:Next state logic,State register與Output logic。

Next state logic:純粹的組合邏輯,以整個module的input與目前的state為輸入,目的在產生下一個state值存入state register。

State register:由D-FF所構成,將Next state logic所產生的state存入register。

Output logic:純粹的組合邏輯,根據目前的state產生整個module的output。

所以可以發現,整個Moore FSM事實上是由2塊的組合邏輯與1塊D-FF所構成,我們常聽到所謂的一段式、二段式與三段式FSM,事實上就是由這3個block排列組合而成。

Moore FSM各種coding style比較

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106052038396027.gif

為了要實際比較各種coding style,在此舉一個簡單的例子,若input w_i為連續2個clk為high,則output會在下1個clk產生周期為1 T的high pulse,timing diagram如上圖所示。

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106062055393332.gif

因此設計了Moore FSM,state diagram如上圖所示,接下來要做的就是用各種coding style來實現這個Moore FSM。

1.使用3個always (三段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106052154153519.gif

simple_fsm_moore_3_always_best.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]6 I) [6 F' M) L; j  a! L$ [1 q
1 /*
' W! U. g3 n" f
2 (C) OOMusou 2011 http://oomusou.cnblogs.com" ?) M, }6 ^* E' R9 C
3 % Q/ a5 H# g& D
4 Filename    : simple_fsm_moore_3_always_best.v
  P) n; M. w$ K7 T2 O2 J
5 Synthesizer : Quartus II 8.1
3 j! M; `3 `: Q6 }
6 Description : 3 always block for moore fsm (BEST)$ S* c. y6 Q# `; W$ r
7 Release     : Jun.05,2011 1.0/ e( f  x, P9 g) E( K7 t( g8 }' a
8 */) R% [1 c4 _" q& e' T- g* C( {5 X
9   l$ d0 I$ e5 j6 X4 E: Q3 @2 T
10 module simple_fsm (9 `) m9 o1 ^5 @1 k8 }/ A3 A
11   clk,
4 `) e4 A3 D8 F. L12   rst_n,
: _1 o- z" M) I, T3 s13   w_i,$ A& {; V6 M6 a6 m
14   z_o
+ _0 p4 ?, B8 X15 );
3 ~3 c  {: K  e0 J: e1 t6 Z16 . i1 W% o# n4 H* r5 S+ ]3 x4 ^
17 input  clk;5 l: n+ t' Y* @) F+ m2 v. L
18 input  rst_n;
& \9 u5 q8 M- }' v8 c. |! c1 k19 input  w_i;
; e5 s# P; i( C20 output z_o;0 D% c( M5 I7 r$ J' K
21
6 F; n+ T2 R1 e22 parameter IDLE = 2'b00;! d; e3 \: H! c5 q
23 parameter S0   = 2'b01;' g6 N5 a" V/ i0 b, C2 _5 _! o
24 parameter S1   = 2'b10;
& Y9 z  Q% U3 A! ]  I1 b
25 1 V; ]8 s! j  i- q- ~7 _
26 reg [1:0] curr_state;
) N; d+ a; t: o1 n27 reg [1:0] next_state;3 j! x9 k' `: H) K( \- m
28 reg z_o;3 D8 {7 X; ^( Z' ~& j4 N
29
+ }, Q& V$ V2 C* d) K8 X9 k' T  ~30 // state reg
; M9 ]& h/ r0 X( z9 N
31 always@(posedge clk or negedge rst_n)
4 W7 c$ c2 l9 k  Q2 L32   if (~rst_n) curr_state <= IDLE;
  s& q* y2 p' V- q" g33   else        curr_state <= next_state;, e- s; k5 y$ }) ]$ M$ ?  `
34     
* `$ O/ ^& `. d) h: k' l* ?35 // next state logic    ; T- M6 i/ J; d' G. @# o7 X; t8 Q' d$ J
36 always@(*)
% L9 q& Y$ z% [, j% W37   case (curr_state)" c5 l" [; {' o7 v' f% n
38     IDLE    : if (w_i) next_state = S0;
- K5 u; e$ f, D4 u$ S39               else     next_state = IDLE;
4 i! z9 z1 z2 X) _7 o2 @40     S0      : if (w_i) next_state = S1;
! g. N$ d7 _  v/ Z( Q* f41               else     next_state = IDLE;0 Q2 e% S. X; A' U9 N! B. D
42     S1      : if (w_i) next_state = S1;, z8 j( _- X8 `9 G% c, a
43               else     next_state = IDLE;
# L9 Z% g4 C2 O5 `( r% d44     default :          next_state = IDLE;
( p5 b! h; L1 f- L  D45   endcase   
. }8 h* O# x! t% k8 S46
; ?4 o+ |3 ^$ E  ]+ `& f3 j4 ], D47 // output logic% d" [4 k7 y: O" u
48 always@(*)/ ?, f- @- Z% V5 E# l6 y3 n0 k
49   case (curr_state), v! q  ]4 F' B
50     IDLE    : z_o = 1'b0;  V8 g5 z4 m. P; q8 b. [
51     S0      : z_o = 1'b0;
3 Q& O" i; I* b' a3 `
52     S1      : z_o = 1'b1;' x7 |; w4 z9 X6 y& {
53     default : z_o = 1'b0;3 z; X3 r( [" H4 |" y9 E: J
54   endcase/ ~- ~  u; m) N/ O+ ^' a  L; Q
55   : U( w0 G; Q1 s, j& r) U7 y
56 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]$ x5 h! Z( v4 D6 K- s% z: `
+ P% w# ^# O+ W5 r

35行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
  N# \' [" e+ _1 Z' [// next state logic   
3 N% H" @; N) W! r8 j
always@(*)) \5 Z( I; A/ ]3 r
  case (curr_state). J5 c! j: S* x  m. I/ Y3 g
    IDLE    : if (w_i) next_state = S0;8 z7 l& P+ I( W% C% e# L
              else     next_state = IDLE;
1 X) P: B) W7 \: P, T6 ^. N* R5 W    S0      : if (w_i) next_state = S1;
, z  V& b& A  T              else     next_state = IDLE;$ @9 F- ~( X% X, X; s2 p3 n+ ?0 @
    S1      : if (w_i) next_state = S1;
% H2 o0 A5 v8 ?5 g! ^              else     next_state = IDLE;
0 u" q$ j- N/ `7 Z" }3 b    default :          next_state = IDLE;& z5 d% T+ l2 S# L: a
  endcase   [url=]http://common.cnblogs.com/images/copycode.gif[/url]
7 i  d0 A% @9 l; l

" t) U% Y# @6 D2 Y. |3 _) s

使用1個always描述next state logic,因為是純粹組合邏輯,所以使用blocking。

根據Moore FSM架構圖所示,next state logic的結果與input與目前state有關,所以先用case對目前state做一次大分類,然後每個state再根據input做if判斷。

30行

// state reg& \6 e; z6 T( f- O
always@(posedge clk or negedge rst_n)! d, Z- y3 e; a
  if (~rst_n) curr_state <= IDLE;
0 s; b. Y% J+ X$ s# k# B  else        curr_state <= next_state;
! s. E) o. A" o. [+ R

使用1個always描述state register,因為是D-FF且含clk,所以使用nonblocking。

由於state register區塊並不包含任何邏輯,所以不會因為不同FSM而有不同寫法,不同FSM只會改變next state logic與output logic的寫法。

47行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]) j8 Z+ K# R" d+ {. Q
// output logic
7 R; b# D$ C5 j* K* X
always@(*)
* u1 c$ j0 d) z  case (curr_state)# V: [" C. I7 C
    IDLE    : z_o = 1'b0;/ y1 R- m: C; w- j
    S0      : z_o = 1'b0;
& _2 q! a  V: ^0 x) X% p! E$ O
    S1      : z_o = 1'b1;# I' u0 ^; H  e  r# D
    default : z_o = 1'b0;  f. {" o. I" d% A
  endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]
$ u2 z) k1 E/ N% A$ x* u

6 e. z( a" \: t  `

使用1個always描述output logic,因為是純粹組合邏輯,所以使用blocking。

根據Moore FSM架構圖所示,output logic的結果只與目前state有關,所以只需用case對state做一次分類即可。

使用3個always寫法有幾個優點:

1.可忠實地反映出原本的Moore FSM硬體架構
# X: H, }- A# Q5 m2.可輕易地將state diagram改用Verilog表示' I# y1 q' T9 d. f
3.將Next state logic與output logic分開,可降低code的複雜度,便於日後維護

! N1 j3 j) z) R# b0 }5 X1 w

3個always是一個推薦的寫法。

Testbench

simple_fsm_tb.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]) ]. p2 V* p% ?+ R3 x4 P, M$ y8 y
1 /*
9 U8 {* X" j+ l' _2 o
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
) x. B4 i% L6 O7 |# E9 H; W) h: l
3
& b+ V. Y1 u2 [) t: d% l+ T
4 Filename : simple_fsm_tb.v5 h  d  l; \) F
5 Simulator : ModelSim SE 6.3e + Debussy 5.4 v9
0 C! ^3 O' ^9 c8 J$ a. t/ e' I
6 Description : testbench for FSM
8 o' X$ r# [% r- w' V. b, ^' V
7 Release : Jun.05,2011 1.0% z' F3 M+ X6 x' k
8 */
% c: g& R1 J! |" Q3 o9 r! F! D. t9 . s* O9 E  d2 D/ I3 K  V1 m
10  module simple_fsm_tb;. ]9 A% ]% T1 J6 j! W# ?
11 & u! D& ~( X$ A/ E) j, `) [" H
12  reg clk = 1'b1;4 c- J- H2 U4 }% `" J" U& b
13 reg rst_n = 1'b1;. H$ X) @, a; v6 d9 r; P$ R
14 reg w_i = 1'b0;
: L' j" }: B% w) g5 `9 h- E. h
15 wire z_o;2 Q4 Q; A. ]+ t5 v- N" O
16 4 l5 P- s, Z5 U1 s! k5 B8 O2 W. ^
17  // clk/ K$ W1 F% `3 n# I5 s% y7 a
18 always #10 clk = ~clk;- g! m& l$ ^' O2 s" ~
19 " k: O; b+ S: j
20  event after_rst;
$ \2 `% Q! A  o; P8 z21 + `$ u  f* v2 {# f0 X$ p
22  // rst_n
% R: E1 }* D, d, v
23 initial begin+ m' G  f' y5 [; z. Q
24   #6; // 6ns
- ?) V7 o* [8 f: K# D. d3 {- a
25 rst_n = 1'b0;
- L4 t, Q) j8 e' x
26 #30; // 36ns
/ `$ E+ T' b: e% N$ O# g) Y
27 rst_n = 1'b1;
7 N' F0 M( q& ~' P
28 ->after_rst; ) `: t. D) Q2 m; j
29  end% ~6 g5 o/ O: m. i  m* v; L
30 2 y$ V+ S% A0 C( C" y
31  // w_i
) N3 }2 P* V+ P/ C+ R
32 initial begin" P' R' u2 W0 }# ~' N) a5 B6 u
33   @(after_rst);
  K- `' y( R  |; C- j34   repeat(2)@(posedge clk); // 60ns, ]2 \% O& X8 V8 \3 x* }
35 w_i <= 1'b1;7 k8 {# g& a, u- w
36 @(posedge clk); // 80 ns
! c9 M1 H) X  _, Z1 Z& P
37 w_i <= 1'b0;
+ ?3 @- R. }# `1 s
38 @(posedge clk); // 100 ns5 @5 p9 e9 ?1 k* F; V! w" c& k) i
39 w_i <= 1'b1;
4 K% ^  O" E8 R5 u/ P# v& e  n
40 repeat(2)@(posedge clk); // 140 ns
3 k* [8 T( T! N1 H" w
41 w_i <= 1'b0;
6 D/ T' Z% y( ]" q7 i* Z; \0 a8 P
42 @(posedge clk); // 160 ns0 i- z# ?# T2 J3 o* b0 [( l
43   w_i <= 1'b1;' F5 L( E8 d1 q. N' U, A
44   repeat(3)@(posedge clk); // 220 ns% i) t+ K7 \; b3 f+ t+ p
45   w_i <= 1'b0;
1 v! G; [& a6 Y  l2 p
46 end$ q7 i( Z/ e& p* z- ]
47 ) V; K' `. C( q* |" y2 @+ S: L
48 initial begin
) o! l6 K* O0 O' }* U  u9 v, k2 ^49   $fsdbDumpfile("simple_fsm.fsdb");  U$ A: l" W$ {+ W0 h9 [
50   $fsdbDumpvars(0, simple_fsm_tb);' H7 y6 N9 K& \& A9 u
51 end$ x. S1 a: r* T9 q6 }& Z
52
3 G+ z8 ~; a- ~3 k: _3 [53 simple_fsm u_simple_fsm (5 b6 F& G9 k0 U" f9 G
54   .clk (clk),
/ o* r/ F- Y* M- s5 G55   .rst_n (rst_n),
, w$ n1 C- k, T8 V7 I7 j' u56   .w_i (w_i),: \% K, ~* u5 }6 c
57   .z_o (z_o)9 T9 y1 l* v) v2 \" N
58 );9 N; d4 Z, s2 l6 B( Q
59
) O8 I* y8 ]8 u/ l) r6 x! U6 N- Y8 L& L60 endmodule. d6 m& C: V5 s3 W6 i  V
[url=]http://common.cnblogs.com/images/copycode.gif[/url]
: ~. N7 C% A8 F; @
! k4 d6 e5 r+ g. d

執行結果

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106060949236708.gif

2.使用2個always (二段式)

由於要使用2個always去描述3個block,根據排列組合原理,C3取2,共有3種可能,我們一個一個討論。

2.1 state register與next state logic合一

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106061010415140.gif

simple_fsm_moore_2_always_0_cs_ns_good.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
- w( B: t% m0 h 1 /*
" C/ m: s. ]  B- f9 U& m6 E
2 (C) OOMusou 2011 http://oomusou.cnblogs.com5 G; t4 N$ W8 l3 h
3
' P* L! ?4 F. \3 E3 [7 r. A
4 Filename    : simple_fsm_moore_2_always_0_cs_ns_good.v4 g* o/ {5 v0 t
5 Synthesizer : Quartus II 8.1
4 x1 u% R" f+ v5 G2 S4 w' X
6 Description : 2 always block for moore fsm (GOOD)& N% f& ?9 V) k
7 Release     : Jun.05,2011 1.0
1 d3 o2 t& F9 o5 l  s
8 */0 ^) d; N3 y3 @5 s" y
9 . t/ z2 f( W( z! w
10 module simple_fsm (
# K. L9 k& D" F5 s4 K' g1 {11   clk,
$ F7 J, [7 G6 J$ h) B9 }' Z. w5 M12   rst_n,
! Y6 M. |, F1 Q/ o+ [6 _13   w_i,% B. n1 {9 W7 Z0 `" A
14   z_o; L$ ^# a2 i+ c
15 );; F& l: S& U  v5 R6 U3 \
16
& R$ V% `4 p* R) v3 X: y17 input  clk;
8 ^0 t- {) G2 F; O18 input  rst_n;
1 \* p$ P5 B: }$ b" J' V19 input  w_i;
  e2 ~' ]0 R4 ?20 output z_o;0 l! t" i6 s1 F$ k8 n# |, E  z
21 / {  Y3 W0 ^8 H6 X" d
22 parameter IDLE = 2'b00;0 U) i, X+ ?7 n' W  m2 d  v- O
23 parameter S0   = 2'b01;
2 X! @- O6 D" s& [
24 parameter S1   = 2'b10;: Q& s/ H: G, E; G' M; O1 R) n# G  Y
25 * L: k4 D: O/ v  E
26 reg [1:0] curr_state;" M' e/ }4 L4 N: C
27 reg z_o;
% h( ^# Z. |% I/ f28 + u2 N$ P, h  Z0 ~4 l6 W) \8 t
29 // state reg + next state logic
" C" g' F( C& k, z6 A- @! f
30 always@(posedge clk or negedge rst_n)5 |- O% g" J" a0 b+ p. v- Q5 ?
31   if (~rst_n) curr_state <= IDLE;5 a9 y/ {, q" ^* g0 h1 [
32   else3 H+ U  U$ U. z
33     case (curr_state)
' a: F9 v7 r9 k6 p, A9 r- b  d/ \! {34       IDLE    : if (w_i) curr_state <= S0;
. Y$ ?9 ~4 Q6 L% b  Q( _/ K6 b2 _  x35                 else     curr_state <= IDLE;% J$ G. d# p& \7 J9 J
36       S0      : if (w_i) curr_state <= S1;
. `& g& D, a2 b3 Y37                 else     curr_state <= IDLE;
% Z. f3 K4 Y9 x* n+ |" Z; ]- k4 ^38       S1      : if (w_i) curr_state <= S1;
; q  q; R7 r, f' x39                 else     curr_state <= IDLE;
1 a% y$ A6 {6 O; X) ~$ U. I4 G1 f40       default :          curr_state <= IDLE;0 P1 n) S  G2 z  p
41     endcase
$ b7 Y) W' ?+ ]: @42     , F& D, p0 s" z+ I& A
43 // output logic4 Y% U0 K5 ^6 T) s& H& N; F
44 always@(*)
( [: l% W, F0 ~, [$ W" c' Z6 L: y45   case (curr_state)
9 _, ~* ^- D+ V" @' `- c, O46     IDLE    : z_o = 1'b0;: J; o7 O7 Y1 t/ x, s1 ^
47     S0      : z_o = 1'b0;
2 L5 {# ^! ^; @: |0 Y7 I8 y% ]7 W8 q
48     S1      : z_o = 1'b1;, ?0 U/ t: X8 J# I
49     default : z_o = 1'b0;
& o9 _; ~% [0 V0 H2 n
50   endcase; j8 [2 c3 G5 S2 @6 o
51   
+ s9 }% t) {7 G52 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]3 K; k3 P; Y& Y+ U6 {" h; I

6 s; u5 N! ?4 u, y4 s* j% d" Z) W& L

29行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]8 M+ h/ h" H1 p+ f! G5 D2 J/ ]8 _6 R
// state reg + next state logic
3 o, L0 ~, p! R. e6 V
always@(posedge clk or negedge rst_n)1 f' c- H  W, L+ X2 L' O
  if (~rst_n) curr_state <= IDLE;
% P0 R) \- R9 g1 B$ w  else5 o& c& `) L  Q. m
    case (curr_state)
3 c% }+ R$ N$ o) X  s! h3 Z      IDLE    : if (w_i) curr_state <= S0;
% B# i9 {4 A: W; K4 N                else     curr_state <= IDLE;
* H6 `6 g% v& C+ z7 n      S0      : if (w_i) curr_state <= S1;
( n1 J  J$ d0 _5 L9 g                else     curr_state <= IDLE;
. G( b1 a6 k/ D& [      S1      : if (w_i) curr_state <= S1;. a1 h' e) x8 x: s) e  h5 @
                else     curr_state <= IDLE;. R" `& C$ O- q7 ~- Y+ T' H
      default :          curr_state <= IDLE;
' u3 B" d" j4 m    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]
5 c% Q* d# m$ O4 z4 G1 Q
5 \/ p% T, x8 r! }) b/ ^# K: P

將state register與next state logic合起來用1個always去描述,雖然next state logic是純粹的組合邏輯,為了遷就於帶clk的state register,且要用一個always描述,就必須改用nonblocking。

由於state register與next state logic合一,所以可以少宣告next_state reg,不過這並不會影響合成結果,只是可以少打幾個字而已。

因為next state logic由input與state所構成,所以先用case對state做一次大分類,然後每個state再根據input做if判斷。

43行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
5 E( d' \9 x9 x( o) T7 s2 ]+ {// output logic, w1 O$ d7 A  d9 G9 v7 h
always@(*)# ~- i! g: y$ C$ W' N9 b2 ]$ }) P
  case (curr_state), H% R# e) n. A" m
    IDLE    : z_o = 1'b0;1 g: s# Y# M# A) k0 E
    S0      : z_o = 1'b0;
; ^# R. l5 k" J# t1 |* D7 |# o1 c
    S1      : z_o = 1'b1;0 E9 L) G7 Z5 h3 K
    default : z_o = 1'b0;
' x6 {# o% |3 I* l; h4 q( V
  endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]9 o! _( l, Q+ z8 ^6 ^. y6 t1 R

. G1 F" i8 c* A) X1 z" U

使用1個always描述output logic,因為是純粹組合邏輯,所以使用blocking。

根據Moore FSM架構圖所示,output logic的結果只與目前state有關,所以只需用case對state做一次分類即可。

使用2個always (state register與next state logic合一)寫法有幾個優點:

1.程式碼較3個always寫法精簡, ^/ Y1 m8 `+ U2 V! a4 P# u6 l
2.可輕易地將state diagram改用Verilog表示
0 J! C; f; {6 q7 b) X3.因為state register原本程式碼就不多,將next state logic與state register合一後,next state logic仍與output logic分開,因此不會增加code的複雜度,便於日後維護

1 C7 N$ s3 {( ^) H% u

2個always (state register與next state logic合一)也是一個推薦的寫法。

接下來要討論的都是不推薦的寫法,主要目的是了解為什麼不推薦的原因。

2.2 state register與output logic合一

http://images.cnblogs.com/cnblogs_com/oomusou/201106/2011060610403484.gif

simple_fsm_moore_2_always_1_cs_ol_ng.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]- e/ h  G+ ?! F  ?
1 /*
& _! e" V4 a8 \( X
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
' d0 J" A5 o" A; `2 I3 r6 F2 G3 w
3
1 G- P5 b! u3 r6 L% N& z
4 Filename    : simple_fsm_moore_2_always_1_cs_ol_ng.v8 W* G' a( D, r4 o* V
5 Synthesizer : Quartus II 8.15 K7 K0 E4 j8 i7 M" ?; |; G! O3 y
6 Description : 2 always block for moore fsm (NO GOOD)
  M3 q' L5 m& R! `" C& k
7 Release     : Jun.05,2011 1.0& A& X, O# m4 K  o! t; R/ q. F
8 */0 H5 j4 R; S6 q# b1 E
9
7 m: S/ r/ Z2 [4 w% c10 module simple_fsm (
" k5 ^1 |8 t( e* h11   clk,! `" C( i/ ]! t! A
12   rst_n,
9 f! s4 \) z8 k8 q- D13   w_i,
; N% D# Y2 d4 D14   z_o+ b- s- d; ?' I; b  T! t
15 );
9 N, U3 H% \) {16 ! J% U9 {, @! J/ J" v. }
17 input  clk;3 R/ l% Y; T1 }, j
18 input  rst_n;
* Z$ z" Q5 `7 [! A19 input  w_i;
/ N% J! b: y% B3 [6 b20 output z_o;  c4 V9 q& j7 ]% a
21
* ^( `- |* E6 E3 G3 B22 parameter IDLE = 2'b00;* [9 u! ^) K9 t8 Q
23 parameter S0   = 2'b01;& h0 o+ c; t% l  V
24 parameter S1   = 2'b10;
0 u  d0 h6 `7 ^5 T% m; a
25 ! _, ~1 G7 g: t
26 reg [1:0] curr_state;1 `4 E  I8 n& M' `. R7 @( V  |
27 reg [1:0] next_state;
* K( `/ _( X/ d8 ^28 reg z_o;
( C& O/ p  s1 F" U29 & [9 t. Y: P# @6 f: E
30 // state reg + output logic0 K( l6 d' x5 t1 Q( g/ p
31 always@(posedge clk or negedge rst_n)( E. I. M2 @; w2 ?, m
32   if (~rst_n) {curr_state, z_o} <= {IDLE, 1'b0};; I- b. t' ?6 X$ _" S
33   else begin: t  Q: O1 y9 d0 m
34     curr_state <= next_state;
3 }2 s( {! a1 D35     
& O8 h! w6 F: Q5 r/ p' ^9 T2 e36     case (next_state)
! P9 q/ W- L" W/ N37       IDLE    : z_o <= 1'b0;
# {: R' G5 |8 r- [( \, S
38       S0      : z_o <= 1'b0;
# I0 T* P' u8 \- n* T
39       S1      : z_o <= 1'b1;$ p& D! U" U5 u+ C5 e' h3 ^( C' j
40       default : z_o <= 1'b0;0 R  t0 x. R) r3 Y
41     endcase+ _6 V% T( m7 {4 s$ E" _
42   end
' p0 D$ p$ v2 d43
" @' f: a( J! J1 V44 // next state logic    - R# d5 I9 f; L; K
45 always@(*)
& \* ]9 e& p1 l# \+ `$ P46   case (curr_state)
* k" A$ V6 Y) A1 q( v47     IDLE    : if (w_i) next_state = S0;
4 Z6 e9 \+ P% }- X! @48               else     next_state = IDLE;
- m6 B' V' W" a) G" {49     S0      : if (w_i) next_state = S1;
: O, X0 y+ t2 l. n50               else     next_state = IDLE;
0 p- ~' t& z/ A51     S1      : if (w_i) next_state = S1;$ r) J. U" B/ E2 O1 A( g
52               else     next_state = IDLE;4 P  [) Y# E# d& z7 l$ P0 `
53     default :          next_state = IDLE;
' e5 d) R/ y9 B- `6 Y' Y- C54   endcase    6 D$ k: r6 f" F5 D3 m! Y6 O
55
4 w* O! p' c0 k0 v( Z56 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]
! B' b( b3 ~4 h& l

, |: F- I6 ~+ n& i9 U% C& z

30行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]# p% n% a6 B; Z$ P5 [! [; r7 Z
// state reg + output logic
2 l3 |6 }) S2 w3 Z( y# b
always@(posedge clk or negedge rst_n)7 @2 W+ m" d; K  _! l
  if (~rst_n) {curr_state, z_o} <= {IDLE, 1'b0};5 j% [8 d( ~; A0 M
  else begin
- l% \( L3 R1 x/ \; n" }( f3 C, h/ ]    curr_state <= next_state;
! J4 ?/ B7 i. Q" k  G, Y, }7 T' }   
/ N% P7 D4 j2 c% d: O0 ]    case (next_state)! I9 p) U" q) E9 x
      IDLE    : z_o <= 1'b0;0 K. m3 e! D5 O5 i) @5 d" x
      S0      : z_o <= 1'b0;
% w" Z' ~2 b( R5 Z. n; \
      S1      : z_o <= 1'b1;
' ~8 {9 f- a$ B+ u! Z, `
      default : z_o <= 1'b0;, T  k) Z6 o5 N* ?: S3 _
    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]" w, Y( @5 y# [5 h. P

, T. Y- z# J0 t; p1 k9 U

將state register與output logic合起來用1個always去描述,雖然output logic是純粹的組合邏輯,為了遷就於帶clk的state register,且要用一個always描述,就必須改用nonblocking。

因為output logic只與state有關,所以只用case對state做一次分類即可。

這種寫法最大的問題是:output logic必須用next_state去判斷!!

依照Moore FSM的架構圖得知,output logic只與目前state有關,之前的幾種FSM寫法,output logic也是由目前state去判斷,為什麼這種寫法要靠next_state去判斷呢?

主要原因是根據Moore FSM的定義,output logic只與目前state有關,且是個純粹的組合邏輯,但目前強迫將state register與output logic放在同一個always,迫使output logic必須使用nonblocking的方式呈現,也就是若output logic仍然使用目前state去做判斷,則output logic會多delay 1個clk,為了讓output logic結果正常,只好提前1個clk做判斷,也就是提前到next_state去做判斷

所以當我們從state diagram換成Verilog表示時,若使用2個always,且是state register與output logic合一時,必須很小心要使用next_state去做output logic判斷,因為這個地方很不直覺,很容易出錯,所以不推薦這種寫法

44行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
& h, e' I/ y" U) @, @// next state logic   
; w5 s% e" z! E: p- P
always@(*)
8 t  A( U$ R! R  case (curr_state)
, I' }. m$ v2 S    IDLE    : if (w_i) next_state = S0;
% }. P2 @! m' A5 T+ J              else     next_state = IDLE;7 F( P5 I6 \# h0 p! ?( E' d& ^
    S0      : if (w_i) next_state = S1;
6 D' M) k3 v1 P1 _1 N3 \              else     next_state = IDLE;
8 R! T& p+ R8 A1 ^: M* C4 M1 O& h+ Y    S1      : if (w_i) next_state = S1;4 l. J& X1 J1 s0 Q1 C6 B7 P
              else     next_state = IDLE;( M8 d% c: `& N3 p; a7 Y3 A6 a
    default :          next_state = IDLE;6 x% j. r, U% e' K2 n, d
  endcase    [url=]http://common.cnblogs.com/images/copycode.gif[/url]1 @; E% a* @) v' s6 G' U8 A& N+ P

# F: Y$ U5 Z0 o4 y

使用1個always描述next state logic,因為是純粹組合邏輯,所以使用blocking。

根據Moore FSM架構圖所示,next state logic的結果與input與目前state有關,所以先用case對目前state做一次大分類,然後每個state再根據input做if判斷。

使用2個always (state register與output logic合一)寫法的缺點:

當使用1個always去描述state register與output logic時,output logic必須使用next_state做判斷,而非用目前state判斷,由於寫法不直覺,一不小心很容易弄錯  T5 H" T3 C: X2 @' B9 I

不推薦2個always (state register與output logic合一)寫法。

或許你會說,在實務上卻常看到state register與output logic合一的寫法,為什麼不會出問題?那是因為儘管是用Moore FSM,我們為了timing更好,常會在output時多敲一個D-FF,讓Output Logic的組合邏輯不要與其他module的input的組合邏輯合併,避免造成critical path,假如是這種需求,state register與output logic合一後,可以直接判斷curr_state,不用提早一個clk判斷next_state。

2.3 next state logic與output logic合一

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106061539099897.gif

simple_fsm_moore_2_always_2_ns_ol_ng.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
9 t" @4 p" \2 g, g$ L. v; h 1 /*
+ l' V7 \& F1 e& f  ?. a
2 (C) OOMusou 2011 http://oomusou.cnblogs.com, D2 R" f1 D. Y. f/ I
3
: F9 h# a* y* Z
4 Filename    : simple_fsm_moore_2_always_2_ns_ol_ng.v
; J3 x0 o' |7 S8 m0 J. X; E& p
5 Synthesizer : Quartus II 8.1* u/ j5 f3 t: _, H: X1 s5 g+ {; r
6 Description : 2 always block for moore fsm (NO GOOD)& l* i- `. J( }& ^* p* H1 U  |+ M
7 Release     : Jun.05,2011 1.02 I6 E2 Q: B3 ^1 m& Y4 @8 v* _* F
8 */
7 k$ h( |1 \; Q7 Z, j/ ~9 b' Y2 s 9 0 w: l4 t5 \! n8 E
10 module simple_fsm (
  u5 U& d3 _/ D11   clk,
) _: m$ w( l" M! H( p( X12   rst_n,
2 v* H9 C5 m  A4 v7 L9 f4 H13   w_i,; Q; z: [8 s1 X$ d! S% J% u9 T
14   z_o
  i. m" h4 i! }# r15 );
& J. f- e: J$ Q16
$ h! `. R. X, {" T+ H; a- N0 O17 input  clk;
+ e( ?. w6 \+ _7 M5 B% y18 input  rst_n;
$ D: Z5 S) w5 Z# \3 |8 |9 f19 input  w_i;
$ m$ Y% j3 r3 o/ }9 `20 output z_o;. v7 q5 ]5 _/ k% Y2 }& [$ p  b' A
21
4 T; V' v# h1 K* K" u) H22 parameter IDLE = 2'b00;
. x% g0 q9 _2 X& C: `" Q% i: J0 s
23 parameter S0   = 2'b01;* \, N/ M7 l" w" ?
24 parameter S1   = 2'b10;
# W5 P* h  v: }" {! s
25 & W( e3 L5 s) t9 P9 z2 X, L
26 reg [1:0] curr_state;
; e, X' ~- g; K3 f0 O* @4 E27 reg [1:0] next_state;
) E# j) j% u0 ?9 ^, O8 ?1 [) A28 reg z_o;
; X- }; U) u; t3 W- v/ F29 ; C' x+ ^8 {( X, M5 G, t0 y# P
30 // state reg
: T! {! B2 e( @5 H& R
31 always@(posedge clk or negedge rst_n)" a9 c( O2 F9 F5 l
32   if (~rst_n) curr_state <= IDLE;; a: @4 E5 O' [5 b& U6 C
33   else        curr_state <= next_state;  d+ S/ S+ Q# r8 V
34     
0 \# {, a7 x, R- M! r. S0 a35 // next state logic + output logic
+ @# N9 ~5 Y( a) X2 {1 p. _
36 always@(*)% r) F! L4 X4 K( u
37   case (curr_state)& F& \! T  `, q. N$ e& r
38     IDLE    : if (w_i)   {next_state, z_o} = {S0  , 1'b0};/ I) y( r* p5 Y- @1 r4 j; D0 r
39               else       {next_state, z_o} = {IDLE, 1'b0};1 [/ c# K0 m- u/ {- l7 C2 P
40     S0      : if (w_i)   {next_state, z_o} = {S1  , 1'b0};+ V7 ^+ d% M  n- `8 n
41               else       {next_state, z_o} = {IDLE, 1'b0};- M4 Z& e& S6 i6 D/ o$ G: w7 N; Y( J
42     S1      : if (w_i)   {next_state, z_o} = {S1  , 1'b1}; // always output 1'b1# E& i8 C: D# H0 c/ g
43               else       {next_state, z_o} = {IDLE, 1'b1}; // always output 1'b1
9 [6 X$ S% V" i7 m! K5 Q9 B! v44     default :            {next_state, z_o} = {IDLE, 1'b0};" p$ R4 x* ?/ d  h. j8 h
45   endcase8 m+ [. Y3 O( `" [, p
46 9 T" B; l) x! A* N) Y( T. A
47 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url], P# z5 E. ]' x( Y

1 P5 [7 @% l! z4 j9 p$ j

30行

// state reg8 z. p# F6 j6 o! @" K7 J- a5 w0 u& L
always@(posedge clk or negedge rst_n)( t! G9 c# v" F4 ]" p% j
  if (~rst_n) curr_state <= IDLE;: Q8 U7 H" a0 K9 B4 ?  E7 F+ u
  else        curr_state <= next_state;
% A3 V4 V+ t# M7 B& Q! Z

使用1個always描述state register,因為是D-FF且含clk,所以使用nonblocking。

由於state register區塊並不包含任何邏輯,所以不會因為不同FSM而有不同寫法,不同FSM只會改變next state logic與output logic的寫法。

35行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]& ~! g1 F( p  Q' m
// next state logic + output logic / n% S& Y( t/ }5 A
always@(*)
2 N8 E; O$ n5 E2 K( W, X  case (curr_state)
: ?' v6 M# H$ B; v/ L# o7 L    IDLE    : if (w_i)   {next_state, z_o} = {S0  , 1'b0};
7 G! f" e5 q6 l( t2 e  a
              else       {next_state, z_o} = {IDLE, 1'b0};3 a  ^9 ]# w" Y% p, ?/ `! c7 V
    S0      : if (w_i)   {next_state, z_o} = {S1  , 1'b0};9 h0 W+ L% a+ ?2 q# }. B
              else       {next_state, z_o} = {IDLE, 1'b0};
9 v; o* l- h: m5 F0 j% t2 R# e- W
    S1      : if (w_i)   {next_state, z_o} = {S1  , 1'b1}; // always output 1'b1
* ~- s* P/ s# X1 ]) d              else       {next_state, z_o} = {IDLE, 1'b1}; // always output 1'b1
+ ]5 I$ _! N* ?9 _    default :            {next_state, z_o} = {IDLE, 1'b0};
5 Z; q7 e& I. g* K, H
  endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]0 g- Y  V( x. o* p4 d0 C
5 W# w6 ~2 E4 A( w7 V$ V9 |

將next state logic與output logic使用同一個always去描述,由於next state logic與output logic都是純粹的組合邏輯,所以使用blocking描述沒有問題。

由於next state logic與input與目前state有關,但output logic卻只與目前state有關,因為都是先用目前state做case判斷,然後再對input做if判斷,所以會出現output兩次都出現1的情形,起因於output logic只與目前state有關,與input無關,固任何input都會出現1。

使用2個always (next state logic與output logic合一)寫法的缺點:

1.將next state logic與output logic合一只會增加code的複雜度,日後維護會更加困難
4 Y% g$ x) d. i! h4 Z! {3 D! B2.很類似Mealy FSM寫法,容易讓人誤以為是Mealy FSM

7 j6 ~% ]9 T6 o: m! g' Y& b9 `

不推薦2個always (next state logic與output logic合一)寫法。

3.使用1個always (一段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106052038403910.gif

simple_fsm_moore_1_always_ng.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
" a) V% a( v4 F; [. U 1 /* : F* J, M3 U+ S( @4 w$ f4 v
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
- w6 ^/ A% V" ^% Y( N' `% W5 A% L
3
* {  R* M2 g) r8 A( h
4 Filename    : simple_fsm_moore_1_always_ng.v* w* s* g8 R9 O
5 Synthesizer : Quartus II 8.19 r  Q, i& Z& ?& Q2 A9 q
6 Description : 1 always block for moore fsm (NO GOOD)  @# ~6 r2 A; X% X2 c) E; K$ J( U
7 Release     : Jun.05,2011 1.0, ^8 ?: A+ I: N$ ^7 ~0 t
8 */
+ L+ A1 X( \+ H6 ?" W 9
" y0 d6 C6 t4 T. G/ h6 J10 module simple_fsm (. {1 p+ K) t: j* d* D/ T
11   clk,5 X9 Z  }3 f7 w7 U6 i
12   rst_n,/ y. G7 s: k' H1 T# k2 Y% j
13   w_i,
. P) @1 {. Q+ ?9 w0 |! H, ]5 |: B14   z_o8 q# S6 K3 ]4 F. s7 @4 B6 ^
15 );
6 _9 P( O' \+ q! J2 k5 G2 `16
3 e- ~. s% Y0 ?& ~7 x) o. g, a! P  z17 input  clk;6 g5 K- i* G; y/ z" X: [% W* r
18 input  rst_n;3 O, ~: {4 @4 G8 z
19 input  w_i;
1 S  q' {' p2 f+ x, |' O20 output z_o;5 l, V$ K0 {6 d$ A7 `7 D. d  m
21 4 I6 I4 t1 h3 y( _; S9 k
22 parameter IDLE  = 2'b00;
9 e0 D6 d6 }6 U3 F) J' A4 l2 H* m
23 parameter S0    = 2'b01;$ Q4 R( W- v' F8 T8 g4 {
24 parameter S1    = 2'b10;* k/ J( Q- Z" e& q( M  C, d& y
25 ( |4 k' ?( F0 y, j# H
26 reg [1:0] curr_state;
, [) u$ [9 V" F4 a/ R( N27 reg z_o;0 A, }) i# {8 M1 C" u) v' ?
28 8 ^# T+ ^0 `9 Z, d1 u7 V) U1 X  B6 Q
29 always@(posedge clk or negedge rst_n)+ x7 A+ S3 Q( W# u5 F; M9 i% W
30   if (~rst_n) {curr_state, z_o} <= {IDLE, 1'b0};
7 c2 d4 I9 Y2 b( k% s& ^
31   else2 f. e/ V; N: K# A  T8 u3 A
32     case (curr_state)
# S, w' v( @$ d5 m9 i" u33       IDLE    : if (w_i) {curr_state, z_o} <= {S0,   1'b0};) |" m! |& b6 z$ l
34                 else     {curr_state, z_o} <= {IDLE, 1'b0};3 w  S* J$ v/ x$ r( f* }& q, F
35       S0      : if (w_i) {curr_state, z_o} <= {S1,   1'b1}; //?3 T- i: G6 L; ?- z" N" d
36                 else     {curr_state, z_o} <= {IDLE, 1'b0};
" f/ r" q  N& s  {; ]" G8 x
37       S1      : if (w_i) {curr_state, z_o} <= {S1,   1'b1};
7 W0 f+ B" E1 Q/ W: Q+ l9 f* k
38                 else     {curr_state, z_o} <= {IDLE, 1'b0}; //?5 @$ W* W4 E6 Y' Z8 s
39       default :          {curr_state, z_o} <= {IDLE, 1'b0};
7 W7 e; {" M8 i: N3 X& \
40     endcase' S3 i* r0 t5 l/ N& `0 ~0 X2 ^
41
5 i. ]) v: L- n* `  |0 l3 O42 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]
( ~" W* B' G: \" c
" D; z, f  C) d# ~; ~& e

29行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
3 l3 C( ]! }  a2 A) R9 e7 y7 Ualways@(posedge clk or negedge rst_n)
) l" [8 o: N7 I8 S% ?4 N  if (~rst_n) {curr_state, z_o} <= {IDLE, 1'b0};
3 }- t: x9 i5 C
  else
0 v# O$ P$ m+ a3 z7 O; t    case (curr_state)" i' b4 U( z- w& }! a: @: p
      IDLE    : if (w_i) {curr_state, z_o} <= {S0,   1'b0};
" k" r7 x- h+ g: t$ |# ~. d" X
                else     {curr_state, z_o} <= {IDLE, 1'b0};' g' W/ P: W4 z* Z6 b: F: u7 D
      S0      : if (w_i) {curr_state, z_o} <= {S1,   1'b1}; //?* I" F  N% `- [$ X0 C) [$ X& {
                else     {curr_state, z_o} <= {IDLE, 1'b0};
& @/ `& J. J: E4 I4 C
      S1      : if (w_i) {curr_state, z_o} <= {S1,   1'b1};
; F; W9 Z$ c) i1 f5 J
                else     {curr_state, z_o} <= {IDLE, 1'b0}; //?
; P' U7 q7 |1 E- G/ @& v/ ^- k
      default :          {curr_state, z_o} <= {IDLE, 1'b0};
: v$ K  K8 \7 k$ H6 ^* j7 x
    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]
% E- ?: r2 F9 X, o, f7 J% g8 J
8 x1 U* L& v: U# y9 p8 K0 q

使用1個always同時描述next state logic、state register與output logic,雖然next state logic與output logic是純粹的組合邏輯,但為了遷就於帶clk的state register,所以必須使用nonblocking。

根據之前的經驗,由於Moore FSM的output logic只與目前state state有關,且是純粹的組合邏輯,若硬要與state register用同一個always去描述,判斷上會出現一些問題,需提早1個clk用next state判斷(在state register與output logic合一時曾經遇過)。

在1個always內,連next_state也省了,所以在35行

S0 : if (w_i) {curr_state, z_o} <= {S1, 1'b1};
& {) L# |8 {: R! P; O6 u) A6 z

當目前state為S0且輸入為1'b1時,output必須提前為1,因為這是在nonblocking內!!

37行

S1 : if (w_i) {curr_state, z_o} <= {S1, 1'b1};
% B) {, g& D& R' L

同理,在目前state為S1且輸入為1'b1時,output也必須提前為1,也因為這是在nonblocking內!!

使用1個always寫法的缺點:

1.因為使用nonblocking去描述output logic,所以要提早1個clk判斷,要特別小心處理,一不小心很容易弄錯+ Z) m! a# r0 p) h& Y
2.1個always內同時包含next state logic與output logic,會增加code的複雜度,日後維護更加困難
' V& a" r: f% r  a* f! y$ R

不推薦1個always寫法。

Mealy FSM架構

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106062055403233.gif

談完了Moore FSM,接下來談Mealy FSM,與Moore FSM的差別只在於Moore FSM的output logic只由目前state決定,但是Mealy FSM可由目前state與input共同決定。

Mealy FSM各種coding style比較

http://images.cnblogs.com/cnblogs_com/oomusou/201106/20110606205541692.gif

將之前的例子用Mealy FSM重新改寫,原本在Moore FSM下,若input w_i為連續2個clk為high,則output會在下1個clk產生週期為1 T的high pulse,若改用Mealy FSM,則output會提早1個clk出現,如上圖所示。

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106062055418151.gif

原本Moore FSM需要3個state,若改用Mealy FSM後,會只剩下2個state,接下來要用各種coding style來實現Mealy FSM。

1.使用3個always (三段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106062106115936.gif

simple_fsm_mealy_3_always_best.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
" s# ]( \$ f- K' X6 v+ o( A/ v4 e 1 /* ) n% b9 w; n: t* b+ r7 S  x
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
2 a- B8 N( O( d/ J2 R
3 ( g. B+ F1 ^. s* k& e, h
4 Filename    : simple_fsm_mealy_3_always_best.v8 @6 g1 j3 c. x' T6 j% E' ]# _' P
5 Synthesizer : Quartus II 8.1; y' l. H. J5 N$ E# v) J$ ^
6 Description : 3 always block for mealy fsm (BEST)
, m  t9 |! J' Y) `6 x( ], i: g
7 Release     : Jun.05,2011 1.0
9 p1 O0 e3 z& [" ]
8 */
' v0 F3 U- C. A/ a7 i5 w' b  Q 9
( @% C! W/ E2 C& o% E6 r10 module simple_fsm (
3 p! `: _) b; n/ u( l! X11   clk,
' e; l6 U! O# c; P4 G% Z5 x! A12   rst_n,
% T; c) K4 z. e3 `6 R) [13   w_i," {! y2 e, @; [# q$ U( N
14   z_o2 v: N/ k7 U6 Q! S
15 );
8 V# B) d# b, B4 ~7 q/ q( u16 ' t8 M/ l3 d2 B# s, g3 o
17 input  clk;$ s) C4 f- s2 O
18 input  rst_n;) w. u9 K, [% ^
19 input  w_i;
% z1 b) S7 i4 l6 v$ @20 output z_o;
& M. J( @0 R$ @5 r6 H  z3 J21
- U0 E! x. S5 t; Y* r2 X' P22 parameter IDLE = 2'b00;
; D) `8 q$ d6 J0 _& X
23 parameter S0   = 2'b01;
/ H8 O' r6 [6 z& i/ k) w) \
24 * C/ z1 [0 a" A5 f% W, k) I  M
25 reg [1:0] curr_state;
% Z" B1 z2 M  n; {% X" l26 reg [1:0] next_state;
7 {( Q8 ~$ d: \4 @: W27 reg z;* M, u9 Y8 F) b2 {* J/ A
28 reg z_o;9 k4 R- G" l" c- r5 Q, G( ~4 ~5 F, o& M' Z
29
3 C$ P3 c  R( [  E30 // state reg
) w3 t. N7 o2 O5 M  C* C
31 always@(posedge clk or negedge rst_n)
5 L9 ?4 n+ R+ @  X1 W32   if (~rst_n) curr_state <= IDLE;5 F8 [# q( x9 E# H* p  M% ^
33   else        curr_state <= next_state;
) `$ j% i" F. @1 }% }3 r. u34     
# @9 e, R$ I, w8 R- g. u& F5 U; N35 // next state logic   
# i+ r1 f; \: S: v4 I! e
36 always@(*)7 f3 o+ L1 J& Z6 \' |
37   case (curr_state)6 m+ O5 v5 o# x3 {. |' K( w% f
38     IDLE    : if (w_i) next_state = S0;
7 e, M/ ~# W" b8 z39               else     next_state = IDLE;
* M# M- m1 }1 K- h8 H+ }0 {6 M) i40     S0      : if (w_i) next_state = S0;
/ c7 O* b; I! {; A: m; U; u41               else     next_state = IDLE;
3 c# n( F1 N0 b0 N' m42     default :          next_state = IDLE;
6 d$ A. w! Q. w" S4 t43   endcase   
: O) _# p& e6 G6 o+ a44 ' W$ |- g( C$ z2 Y
45 // output logic& P# v' v, {$ f: ?5 d; G* l' ~! Z( ?
46 always@(*)
3 L1 N" i; Z7 d% o9 a! p7 Q# _47   case (curr_state)
0 P1 o2 c9 }/ @8 q0 Y8 Q48     IDLE    : if (w_i) z = 1'b0;
+ ~; j$ U& G; \; `2 x4 C
49               else     z = 1'b0;      ! z4 j( O+ N, m8 p. M
50     S0      : if (w_i) z = 1'b1;
3 f) C% ], n+ X* M; W  v/ ?! Q
51               else     z = 1'b0;
  P1 o  g+ \% t  A0 x4 x7 Y/ K
52     default :          z = 1'b0;
: x7 d- C) N, r
53   endcase/ }# z) N( _4 n3 J" l$ Y' s
54 ! E. A+ }% ?: {% T, `  A1 \
55 // mealy output to delay 1 clk for moore  
0 m' Z2 E" b# K" a4 V
56 always@(posedge clk or negedge rst_n)! z# n/ g6 ?) D/ {
57   if (~rst_n) z_o <= 1'b0;. I% _8 h$ ^2 j4 k
58   else        z_o <= z;( r+ R" R; J- z8 m  A
59     & i4 h7 A: i( w8 [1 N
60 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]/ P. k% s9 L0 m$ f

# U6 ~; c) a' F4 [1 b( T1 T

30行

// state reg7 @/ p" T) |% O3 t: B
always@(posedge clk or negedge rst_n)
4 g2 R# e) G9 v# {+ ]  if (~rst_n) curr_state <= IDLE;
% V0 ^1 T0 ]% W9 z  else        curr_state <= next_state;

2 d+ t. d4 N( L# c6 N

使用1個always描述state register。

35行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
; ^0 D; P  k( s/ J- ?// next state logic    6 N( H% b5 ]" h
always@(*)/ {/ H% Y+ p$ ]7 T! u! X
  case (curr_state)
. f! @6 E# I1 w$ v3 _  D" n    IDLE    : if (w_i) next_state = S0;
# G9 n% c# u  Y6 A" `              else     next_state = IDLE;
4 c+ ]6 _0 N1 ?" A2 l* Y    S0      : if (w_i) next_state = S0;
5 Z6 {! t" C1 v1 e              else     next_state = IDLE;. E( J3 O5 G9 |$ A0 q' A: n$ C- Q  ^
    default :          next_state = IDLE;
- W( u' X: f7 q$ t9 K# m  endcase  [url=]http://common.cnblogs.com/images/copycode.gif[/url]* Z# Y; v0 l# O6 k

. v1 _  B5 w( O1 K) y+ I

使用1個always描述next state logic。

45行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
( B. b6 d# X, a. h7 ]; ]// output logic% Y$ M0 l5 W8 \! V) y
always@(*)1 X+ p6 A4 z: W4 `/ Q+ q- c: S0 q
  case (curr_state)! `% p0 y' U7 O; m0 x! G8 V
    IDLE    : if (w_i) z = 1'b0;
1 h5 K/ d8 d( M/ X7 ~  r
              else     z = 1'b0;      # p. r- |. g# y9 e
    S0      : if (w_i) z = 1'b1;4 O/ N7 u4 ]# c: i2 k$ I
              else     z = 1'b0;
( D2 D9 Y- k. p9 J( o  T) i# P4 @1 L
    default :          z = 1'b0;
6 S6 Y+ N2 d5 j
  endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]
. G# Y% ^& m: ]' H) R1 J9 r

4 n: f1 H" Q: w

使用1個always描述output logic。

以上3個always寫法與Moore FSM的3個always並無差異,基本上只要state diagram畫的出來,就能等效地用Verilog描述出來。

55行

// mealy output to delay 1 clk for moore  
& |. W. E/ h+ y1 n: a4 M
always@(posedge clk or negedge rst_n)% T6 ?- `* `! A$ h
  if (~rst_n) z_o <= 1'b0;4 E, o: _* x3 J6 }; z6 v
  else        z_o <= z;
5 Q" n1 t2 L9 @7 F

之前提到使用Mealy FSM會少Moore FSM 1個state,且output會早Moore FSM 1個clk,所以最後特別將output在敲一級delay 1個clk,這樣Mealy FSM就會完全與Moore FSM一樣。

使用3個always寫法有幾個優點:

1.可忠實地反映出原本的Mealy FSM硬體架構: ?: M! b4 d- \
2.可輕易地將state diagram改用Verilog表示- Q- `. y0 E- ]3 D! t
3.將Next state logic與output logic分開,可降低code的複雜度,便於日後維護
' c% O6 C0 k( ], m4 ^, g

3個always是一個推薦的寫法。

2.使用2個always (兩段式)

由於要使用2個always去描述3個block,根據排列組合原理,C3取2,共有3種可能,我們一個一個討論。

2.1 state register與next state logic合一

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106062204201676.gif

simple_fsm_mealy_2_always_0_cs_ns_good.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
, f$ j: x! [( k 1 /*
/ B1 [( Z7 b5 L  d% d
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
( B, W3 z8 Q" A
3
1 m1 F# b" S, R! D' \3 B
4 Filename    : simple_fsm_mealy_2_always_0_cs_ns_good.v
& P0 I' n9 U8 \4 o5 K1 B
5 Synthesizer : Quartus II 8.1) J# [2 p7 n: I( x1 X
6 Description : 2 always block for mealy fsm (GOOD)
1 l1 N- q% C% ~  f1 Q& s6 q8 b7 g# V
7 Release     : Jun.05,2011 1.0+ k" U) A, d1 i
8 */" m3 n  v0 L9 }9 ~! V
9
! N: P9 i* o( L2 j0 \10 module simple_fsm (
2 a7 F' j2 C; u& i% |5 c! |! r11   clk,* x) Q/ w: B' F4 e6 x
12   rst_n,
% G& d1 N/ |* U: A. P- G" C  K2 _" n13   w_i,9 {/ t1 Z8 \0 m' h
14   z_o. |7 ?! J1 l; k
15 );9 l" ~% j& {# [$ `7 G9 D7 I/ e0 Z" b
16 + N; ]; R" v: T' F' J- C
17 input  clk;
6 H0 b+ s+ |# n  }1 }' f18 input  rst_n;
9 U: m% Y5 {7 K, |3 ]19 input  w_i;
' u: e: V6 Q# W) G# B9 I  I20 output z_o;
1 j) c; q$ t& s: o0 J! w21
% q3 }' m( o6 W1 y22 parameter IDLE = 2'b00;
7 l4 s/ }- w5 X
23 parameter S0   = 2'b01;- Y0 B8 P) ?# u* e, j- ~
24 & [/ U2 U) ~& {! S  ?
25 reg [1:0] curr_state;3 D; W' N( ?8 s2 k5 O9 f2 A
26 reg z;8 r3 s; u9 x) a; x/ w9 \& @
27 reg z_o;
* x6 B! M5 D! }4 h9 R# o* S6 S/ ?28
9 A8 P+ h6 h& e: p29 // state reg + next state logic6 @1 Z! x- _5 C8 C
30 always@(posedge clk or negedge rst_n)
+ ^, x# C4 X4 F1 e1 S- a+ a- c7 @# {31   if (~rst_n) curr_state <= IDLE;$ n9 W. s8 N! A7 z0 h+ q
32   else
) V9 W6 v2 u6 x% ?( r8 n33     case (curr_state)
- j0 C7 `& G( I$ v3 T34       IDLE    : if (w_i) curr_state <= S0;
& t: L% e& ^3 _1 S5 U. Z2 G$ F35                 else     curr_state <= IDLE;
1 A% H2 Z# u2 i3 I) s' l0 s36       S0      : if (w_i) curr_state <= S0;
" P9 V! A+ O$ g, D+ B; s; g8 Z37                 else     curr_state <= IDLE;6 X$ w  }5 U* _+ h. D
38       default :          curr_state <= IDLE;% v& e5 a/ e# d. S; _8 m
39     endcase
. ?  t  Y1 ~5 g+ r" N6 b* y4 X40     
* M/ O/ |- Y3 r, C% {41 // output logic
' f5 T6 n9 T; }( Q0 H
42 always@(*)3 B( t+ A8 c) P+ i
43   case (curr_state)) N& q  m' f/ Q. H2 L
44     IDLE    : if (w_i) z = 1'b0;
5 S' r9 q; G) G; h0 l2 u
45               else     z = 1'b0;7 D+ D5 b2 \: m# a' ?( X) q
46     S0      : if (w_i) z = 1'b1;
2 {7 R" d* ^2 d
47               else     z = 1'b0;
* x- o+ k6 I3 J# U4 y! q1 ^8 |, Q
48     default :          z = 1'b0;
. o8 }' @/ d! T: i8 ~# s
49   endcase
4 z0 k) ^" t: p, `50
$ H$ n# K, m# [51 // mealy output to delay 1 clk for moore  
) B6 u: G7 @' J( i5 Q
52 always@(posedge clk or negedge rst_n)4 p& V: v+ \  Z7 r
53   if (~rst_n) z_o <= 1'b0;% A/ j( a; P$ n8 U7 \& }* `
54   else        z_o <= z;
" f1 b6 H7 \0 ?: Z! G5 x55
$ E; G% p0 z" |( F8 V56 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]
# P) h; {/ V9 C4 l$ L$ O/ n
7 F8 n* t3 S  i' j7 d2 }6 ?

29行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
+ a7 B9 ^2 }) Y// state reg + next state logic" ~, b$ i8 H: K) m
always@(posedge clk or negedge rst_n)
/ i. {9 Q+ i" P) ~1 L  if (~rst_n) curr_state <= IDLE;4 k3 w, h/ s, b; i
  else
9 b7 l, ]( j" ]$ N: \3 G- H% R; T4 _. D    case (curr_state)
" S. \) l& |" H: `      IDLE    : if (w_i) curr_state <= S0;6 d% S6 ^9 l  `5 K; A
                else     curr_state <= IDLE;
0 d% A! z+ Z- I& K  T      S0      : if (w_i) curr_state <= S0;
2 o. i% P! C- A/ L8 V$ O- C) G                else     curr_state <= IDLE;
6 A& l" |9 \5 _% G, U& o2 {8 R      default :          curr_state <= IDLE;- b- |: i1 P+ _" O; k' A6 [+ H( x
    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]0 l+ B$ u( ]: v% v

1 H6 P2 Z9 z1 w! A( h! `

使用1個always同時描述state register與next state logic。

41行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
" z5 ~3 l; C: L* _* L0 }; M: s8 j// output logic) x  }, E( Z) D; Q8 h! T8 t
always@(*), P, o4 p7 B/ Q2 N. M0 G( K$ U
  case (curr_state)4 k; q  |! Z& x3 r( l; Z  A
    IDLE    : if (w_i) z = 1'b0;
, j1 U! [" d2 o' s* P8 v
              else     z = 1'b0;
4 X/ b+ O7 t6 ^  [
    S0      : if (w_i) z = 1'b1;
8 C& ]1 b2 P7 Z$ G/ B
              else     z = 1'b0;
5 i+ A( @/ m- i3 x$ x9 }9 ?9 l
    default :          z = 1'b0;
! W( h) U; X0 a3 |8 [" P; q
  endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url], m5 b$ r3 m/ n; ^* p3 L
$ l4 W2 W& _0 V; W) Q7 A

使用1個always描述output logic。

以上2個always寫法(state register與next state logic合一)與Moore FSM的2個always寫法(state register與next state logic合一)並無差異,基本上只要state diagram畫的出來,就能等效地用Verilog描述出來。

51行

// mealy output to delay 1 clk for moore  / m3 U  v) n3 ^& G% T( @! Z
always@(posedge clk or negedge rst_n). L; O7 i0 ]  V
  if (~rst_n) z_o <= 1'b0;& I, s4 W" y; @# i8 {
  else        z_o <= z;

) a) c+ A2 I4 k1 X4 l7 r

之前提到使用Mealy FSM會少Moore FSM 1個state,且output會早Moore FSM 1個clk,所以最後特別將output在敲一級delay 1個clk,這樣Mealy FSM就會完全與Moore FSM一樣。

使用2個always (state register與next state logic合一)寫法有幾個優點:

1.程式碼較3個always寫法精簡% \3 ]: @/ `4 y' P- A
2.可輕易地將state diagram改用Verilog表示' X* `+ f' ~, h$ m4 ~  M. p
3.因為state register原本程式碼就不多,將next state logic與state register合一後,next state logic仍與output logic分開,因此不會增加code的複雜度,便於日後維護
1 {3 M; e2 h; l3 l

2個always (state register與next state logic合一)也是一個推薦的寫法。

接下來要討論的都是不推薦的寫法,主要目的是了解為什麼不推薦的原因。

2.2 state register與output logic合一

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106070634371434.gif

雖然理論上可以用1個always同時描述state register與output logic,但實際上做不到,因為Mealy FSM的output logic是目前state與input的純粹組合邏輯,與state register合一後,就必須使用nonblocking描述,之前Moore FSM還可以提前一個state去做判斷,但Mealy FSM還有input,該如何提前1個clk去判斷input呢?

2個always (state register與output logic合一)無法描述Mealy FSM。

2.3 next state logic與output logic合一

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106070634388892.gif

simple_fsm_mealy_2_always_2_ns_ol_ng.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]  A4 I, d5 d/ k; S& }2 d: q
1 /* & _+ e( e8 S" A7 J3 g
2 (C) OOMusou 2011 http://oomusou.cnblogs.com& Y& I. b) P: j( i  w1 D+ i
3
2 n4 }8 q3 P( b% f) s
4 Filename    : simple_fsm_mealy_2_always_2_ns_ol_ng.v
5 B- \) r) b# _2 T8 z( P
5 Synthesizer : Quartus II 8.1
# }* u' x" b; Z
6 Description : 2 always block for mealy fsm (NO GOOD), D7 w  p. x2 J5 W
7 Release     : Jun.05,2011 1.0
8 e9 j" |6 Z' y$ c% H! S
8 */# Y- \6 a# p1 T4 o% a
9
  O/ S* K9 }4 B, W, q4 F! J" m10 module simple_fsm (5 D/ h6 g) X! T8 O
11   clk,, `( n) O7 p) q5 G# t
12   rst_n,0 y6 Q, G  G, C+ A4 J3 B% k3 f
13   w_i,: u$ u9 I' Y; {
14   z_o" O- D0 k0 d6 ]5 M
15 );! t, I; B% g; K* [: B5 P
16 + t* Z, e( c; z* z
17 input  clk;
' x% F6 W" Z: {18 input  rst_n;
, r; D& C: T# ?+ ]& U19 input  w_i;8 Z8 t* y) i2 W/ R9 t# d9 [
20 output z_o;9 u0 G- R7 `7 k
21
- a! T' ^- A, H; T* T22 parameter IDLE = 2'b00;
- V/ g( ]. w9 b9 j3 j! M
23 parameter S0   = 2'b01;
9 P7 o, t4 K/ y$ z8 @- A7 H
24
7 f3 `, t0 d( q; e$ [; W25 reg [1:0] curr_state;
- a. t" Z! \8 H3 M26 reg [1:0] next_state;
7 D4 Z" F$ S7 o; T) r0 }4 K- W27 reg z;
: X1 [/ D* K# p3 }- _28 reg z_o;
  b4 r" f, ~$ W) L+ I6 w1 F+ |29 : M. E( N4 P% N' p7 o0 ~/ o1 {+ h+ n# R
30 // state reg7 V" c. [) ^" f( q' z
31 always@(posedge clk or negedge rst_n)( r, g# F3 h  \
32   if (~rst_n) curr_state <= IDLE;) x3 k7 U0 V; V, _* {+ K
33   else        curr_state <= next_state;
& F% g- b! `! |- N9 H: d34     
# B5 ^# f6 i/ V2 g2 m35 // next state logic + output logic   
  \7 \0 r0 O  S/ f3 I4 e
36 always@(*)
8 a. j4 F6 @6 a4 T9 H7 }. b37   case (curr_state), H6 n, O5 E3 Z: [" P$ U. \
38     IDLE    : if (w_i)   {next_state, z} = {S0  , 1'b0};
  a! _! O; x  u' R; o$ H
39               else       {next_state, z} = {IDLE, 1'b0};/ {/ J: ^( ~9 j2 K- r
40     S0      : if (w_i)   {next_state, z} = {S0  , 1'b1};
3 x/ W3 M$ t" k! F/ ^# j8 }
41               else       {next_state, z} = {IDLE, 1'b0};, v1 _, S( V0 f; t# H
42     default :            {next_state, z} = {IDLE, 1'b0};
1 L% e( ]1 a8 x: Y$ K" e  U9 M
43   endcase$ D3 z3 F, u7 b  k3 @  C) e
44 , M$ g# L+ I. {3 d4 z
45 // mealy output to delay 1 clk for moore  1 Y0 D1 ^  }% `0 a" ^5 S
46 always@(posedge clk or negedge rst_n)2 T3 t# \! e! `# h8 N: O/ u
47   if (~rst_n) z_o <= 1'b0;, W- I2 B6 U3 @5 D
48   else        z_o <= z;
9 D# C" I3 @* Y49 ! M3 O2 e1 F' {) w8 Z+ I
50 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]
  F, @# f- P3 J) \; b- A( x1 R; O

( a- D& e4 r* O0 i

30行

// state reg
8 S: t' b' H7 ?: E
always@(posedge clk or negedge rst_n)
1 F! q% d# r) M* s  if (~rst_n) curr_state <= IDLE;& T8 o. X9 v5 b. T: X' q: u
  else        curr_state <= next_state;
% \% n: Y: H2 l. K, P, X

使用1個always描述state register。

35行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
* V" T( |: e/ N8 x- R% j5 v- O// next state logic + output logic   
1 [1 S+ ~5 v1 @/ ^# i: t
always@(*)5 M: c6 g# n" c; H" e' g
  case (curr_state)$ o! ]" D1 H$ l! {4 u3 O
    IDLE    : if (w_i)   {next_state, z} = {S0  , 1'b0};
/ K1 ^5 J4 Z( R5 s
              else       {next_state, z} = {IDLE, 1'b0};
2 W; C0 g* ?6 @& }$ y2 [  U
    S0      : if (w_i)   {next_state, z} = {S0  , 1'b1};
: ]. k9 l5 X* D* F% d1 Q
              else       {next_state, z} = {IDLE, 1'b0};6 c9 X, g8 d! j% c
    default :            {next_state, z} = {IDLE, 1'b0};
* t( V- W3 W* N2 ]" ^# r( R
  endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]. T: f! |+ B# h' i

9 j  W* A9 [* m4 w2 m* K

使用1個always同時描述next state logic與output logic,因為兩者都是純粹的組合邏輯,所以使用blocking。

45行

// mealy output to delay 1 clk for moore  
# D, u$ {% W7 M9 B/ Z
always@(posedge clk or negedge rst_n)
) j" H/ l. S2 a3 X# j2 C! H/ o6 \$ O  if (~rst_n) z_o <= 1'b0;
7 ]* A/ Z+ G! }! T, _( ^2 \" ^
  else        z_o <= z;

! ], x; Z" h0 O% t( b

之前提到使用Mealy FSM會少Moore FSM 1個state,且output會早Moore FSM 1個clk,所以最後特別將output在敲一級delay 1個clk,這樣Mealy FSM就會完全與Moore FSM一樣。

使用2個always (next state logic與output logic合一)寫法的缺點:

將next state logic與output logic合一只會增加code的複雜度,日後維護會更加困難
8 F3 X. e9 q. b4 ^, s+ o4 I

不推薦2個always (next state logic與output logic合一)寫法。

3.使用1個always (一段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106070654206414.gif

理論上存在使用1個always同時描述next state logic、state register與output logic,但實際上做不到,理由與2個always (state register與output logic合一)的理由一樣,1個always必須使用nonblocking描述,而Mealy FSM的output logic是目前state與input的組合邏輯,我們無法提前1個clk去判斷input,所以無法使用1個always去描述。

1個always 無法描述Mealy FSM。

只要output logic使用nonblocking去描述,就無法實現Mealy FSM output。5 a" l- P+ ~' a5 N4 N

實務上推薦的coding style

如之前所述,實務上為了timing更好,常在Moore FSM的output logic再多敲一級,以下為推薦的coding style:

http://images.cnblogs.com/cnblogs_com/oomusou/201107/201107192248215766.gif

1.使用2個always (兩段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201107/201107192137268414.gif

simple_fsm_moore_2_always_0_cs_ns_good_pratical.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
# w3 a- F- }, v5 _' Q! R5 D 1 /* # _+ j- n$ k# g
2 (C) OOMusou 2011 http://oomusou.cnblogs.com. S, i  T8 A/ k& p8 s
3
/ R% F5 u  K$ T% L( C8 I
4 Filename    : simple_fsm_moore_2_always_0_cs_ns_good.v
1 e  n' S2 N( V. q
5 Synthesizer : Quartus II 8.1
& T! u% d5 C$ z, v) e9 h2 ]
6 Description : 2 always block for moore fsm (GOOD)
% v* g8 O9 H4 q, o- a9 j
7 Release     : Jun.05,2011 1.09 o  B  K2 d" u+ M8 D8 |4 @
8 */' O5 T9 D5 k0 Y
9 / j" k) n4 k* l
10 module simple_fsm (
" e1 }* E% @& Y" M7 a3 e11   clk,+ Z4 v/ a3 h) j
12   rst_n,
- z* G# H0 E4 l/ |13   w_i,
4 I1 _0 J/ K0 m& Z6 t14   z_o
/ }0 x5 s9 C8 M15 );
" ^! ~' {7 t! R: ]1 i16 : e/ l9 O% C" F& G6 G) w! f; O  R
17 input  clk;+ q9 j* \1 M+ |, ~8 b% \0 K3 b
18 input  rst_n;7 G4 Q8 \, ^3 z# X/ i
19 input  w_i;
0 x7 I  K7 r5 m# K( u9 G20 output z_o;; y0 o8 L0 ?% ?. J
21
( z: W, J, f6 i; f4 u0 y22 parameter IDLE = 2'b00;$ [* e8 ]  R+ T/ R
23 parameter S0   = 2'b01;3 N. m+ ?) \9 O: z, G- }
24 parameter S1   = 2'b10;2 M; ~# l+ c1 o& l; {9 M: z. S1 d
25 ( D  V4 [. g* N: M
26 reg [1:0] curr_state;. D# d, s7 S0 v6 A: w% ]
27 reg z_o;
& r8 @" v; c2 }) B' V28 / M  o  ^1 A" x/ q6 S
29 // state reg + next state logic' |5 Y2 Y( |( O
30 always@(posedge clk or negedge rst_n)2 \: n) s5 d1 G( v
31   if (~rst_n) curr_state <= IDLE;
7 u' Q3 }  l0 C/ V32   else
. k! o7 f7 D1 x" m  r$ f# A+ v33     case (curr_state)( H' I3 u% a; B( r* f2 ^
34       IDLE    : if (w_i) curr_state <= S0;/ c8 s, N" e7 [' D. w4 z& c& o
35                 else     curr_state <= IDLE;
! P+ D! j9 b" K1 D0 O' ^& s0 \36       S0      : if (w_i) curr_state <= S1;
3 O' i8 J6 b6 H' K' D7 K3 K' y  e; k37                 else     curr_state <= IDLE;2 }; @4 L2 w4 H; `2 T
38       S1      : if (w_i) curr_state <= S1;% z2 z% J& [$ h. \
39                 else     curr_state <= IDLE;  H) o+ E  d8 y% m% L' h% `
40       default :          curr_state <= IDLE;
% M2 `, f6 v* P/ b8 e7 r- g41     endcase
% j4 {) k" a' U7 d7 w6 ^42     
9 d6 U: X/ N) ^3 N: B43 // output logic) e2 k2 p% ^0 z! L9 o! l
44 always@(posedge clk or negedge rst_n)# e5 D/ s7 e  i: m$ w& X
45   if (~rst_n)7 [' j. k: w, t8 W% r* o
46     z_o <= 1'b0;
. c9 s8 A# x, G- {. C0 c8 }
47   else% T; T1 }% O+ d% y. f5 K
48     case (curr_state)
8 H$ L! ~+ p; ~$ c49       IDLE    : z_o <= 1'b0;
$ H6 K0 m9 ]8 C6 p! a. `: r  ^7 O
50       S0      : z_o <= 1'b0;9 h( v0 J/ \  _
51       S1      : z_o <= 1'b1;
6 J! g2 K2 i: z& I1 _5 d
52       default : z_o <= 1'b0;
0 k$ g9 P3 o- w% p" H
53     endcase
" K$ A/ g! y& C( O" G54   7 W  Y! n6 B) i" z  |. p
55 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]
* p- z3 K7 Y3 L& ~  C0 u/ @! `
4 B5 i* M3 o2 w

29行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
# q, E8 B1 K0 k5 {' i" Q// state reg + next state logic
& \, j( a! V2 u+ ]! ~, s5 s& s
always@(posedge clk or negedge rst_n)
! ~0 ]) i7 @+ W" {' m: y( p  if (~rst_n) curr_state <= IDLE;7 i! G  F; T* r8 a' R2 F
  else
5 i! |; i- x4 O$ n4 X! l  j1 O    case (curr_state)* _) R6 y* p5 ~: n% d0 h1 B* f
      IDLE    : if (w_i) curr_state <= S0;
! v/ R0 r; y$ x, M* Q- n/ J, E                else     curr_state <= IDLE;& L1 D) Y0 a: ?1 r' F
      S0      : if (w_i) curr_state <= S1;$ k0 L1 M% _1 f* m7 d+ t, q* B; }
                else     curr_state <= IDLE;
) O' n' r, ~; n% h7 H8 V) m      S1      : if (w_i) curr_state <= S1;- |% a+ @0 R4 A; t6 C- F. k
                else     curr_state <= IDLE;
  j$ g; p9 h  g      default :          curr_state <= IDLE;
2 F- H; Y+ L0 g) F    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]
9 d2 D1 s  z$ m+ F

$ D/ r/ w9 y4 {# s; e  V% ^

使用2個always,且state register與next state logic合一,只要判斷curr_state即可,不用擔心是否要提早1個clk判斷。

43行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]' `( \- E! B' S: Z
// output logic
8 r3 Q  A% H" z" I3 B$ y( |
always@(posedge clk or negedge rst_n)
& p# A6 o6 t# \  if (~rst_n)  m( `7 h9 u& Z5 Q4 I
    z_o <= 1'b0;
7 g1 ]$ p# `/ R! u) w
  else
: I# A* X( b+ E) t7 m9 T    case (curr_state)
  M- [0 W- F4 z. z$ T      IDLE    : z_o <= 1'b0;* ~. P" U2 {" a2 _8 f' g
      S0      : z_o <= 1'b0;
' M1 [  c% W$ B! Z
      S1      : z_o <= 1'b1;
8 D. w% L: y9 G6 P
      default : z_o <= 1'b0;
. I8 E- b9 P1 J; X  L
    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]* C$ I. k4 d1 r$ S; e* A. s
1 [- U! t  g& N* }8 A9 |/ ?* y( I

output logic也敲clk,只要判斷curr_state即可,不用擔心是否要提早1個clk判斷。

2.使用3個always (三段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201107/201107192138251792.gif

simple_fsm_moore_3_always_practical.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
( J! p( h0 R# P9 M' | 1 /*
! x, j/ t- [7 T! ?( |' H$ x1 O7 p
2 (C) OOMusou 2011 http://oomusou.cnblogs.com! ^  y# ], G; \# [# e
3
; u9 ?! V, @( Q
4 Filename    : simple_fsm_moore_3_always_practical.v
% o8 M* E0 A* x5 _; e0 L% ]$ C- O
5 Synthesizer : Quartus II 8.1
( h- h1 ?3 H$ s$ D; W8 \& G
6 Description : 3 always block for moore fsm (BEST)
% {& t+ @! u: L1 G* d0 x
7 Release     : Jun.05,2011 1.0
/ N6 |1 s. `* p- T, g
8 */
- e/ h, y) F# l$ w: w# V9 B* o 9
. D, D5 s7 k+ x: k10 module simple_fsm (
7 @% a( x9 {" n; Q11   clk,$ u: A, \& |- p( `0 @2 @
12   rst_n,  E( D  `* b% H( x5 ?
13   w_i,
' u; U0 k2 S% h3 _. \14   z_o
7 \7 I4 }- _' e' \# X2 X, V& I15 );
; a0 E0 U' I6 I& d5 x* [8 h* S16 - O) Q; G  I$ w- C' |/ u; R
17 input  clk;* ~0 e+ Q( K, `. {' g. [8 ]
18 input  rst_n;
/ H2 k5 l$ O# ?3 Z; y- g19 input  w_i;& J4 O8 q* k# X' ~: W. m
20 output z_o;
4 H$ y- d5 F5 C3 n( q1 \1 d7 ^. @21 : `& p, J0 _1 ^+ x" v! O$ D
22 parameter IDLE = 2'b00;
  k. R; J7 I! w5 r5 Q
23 parameter S0   = 2'b01;4 l+ k+ u8 K# [: A$ U. T) Z! V
24 parameter S1   = 2'b10;
; N- P3 C) i0 n1 H, T9 z
25
" j9 ?  d9 r1 f& c: P5 t4 _26 reg [1:0] curr_state;
% j- l1 G/ C% Y6 a' o27 reg [1:0] next_state;# ?2 h: v) ~% c
28 reg z_o;; j4 ^5 \( `7 s  s
29
) [" b( Z6 O  u% O  T# U30 // state reg# {4 u. t+ E8 _
31 always@(posedge clk or negedge rst_n): }2 D. ~) l% ?* J# {
32   if (~rst_n) curr_state <= IDLE;) n5 O  P: ~/ ^8 X
33   else        curr_state <= next_state;
! u* O9 G- [  l- Q( {; J3 ?34     # {4 z2 a9 H/ ?1 q* u1 h- Y  Q! b- f
35 // next state logic   
7 \/ c& M( i! l3 X: I/ h
36 always@(*)
7 D3 I' z  D) h6 _0 I' B37   case (curr_state)
$ a" Q! f) Y4 X38     IDLE    : if (w_i) next_state = S0;* m) Z* X* t' A
39               else     next_state = IDLE;
3 l! d' f- S/ D. P6 g40     S0      : if (w_i) next_state = S1;
; v! G4 G) {1 o6 r4 h) j41               else     next_state = IDLE;  p7 v" e* N2 D4 Q( }
42     S1      : if (w_i) next_state = S1;
: q( C3 i' Z+ e  E3 |43               else     next_state = IDLE;7 U4 R, d& g) y3 g( M- G8 S! W
44     default :          next_state = IDLE;
' o8 |: y% [. ?0 o' d( L5 a45   endcase   
% Z/ s4 ~$ u4 k# L% i( @7 F# @2 u46   _2 D3 ]2 y3 S- B4 W
47 // output logic
2 ^2 k" W$ Q" `8 N3 I8 ?& r
48 always@(posedge clk or negedge rst_n)
2 G. i! ^8 F0 d9 v+ r49   if (~rst_n) z_o <= 1'b0;0 T- G  U' m0 h4 U0 ~. T/ Q
50   else
1 M$ p3 I7 _) `& c, t. t8 s5 e7 |51     case (curr_state)( {7 K* E/ U' _8 ]5 N
52       IDLE    : z_o <= 1'b0;
' z  a+ ~1 b; i/ T1 b; u  \$ C" Y9 U
53       S0      : z_o <= 1'b0;
0 S5 D3 }  [4 y4 Q  w
54       S1      : z_o <= 1'b1;! Y% S  Q5 s% c2 ?4 B& ^7 s
55       default : z_o <= 1'b0;
; }/ ^( n: @( V  Z& ~* G: X
56     endcase
* s! t) B  a: F! ?57   0 B. u. c! I$ ~6 n
58 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]# U3 Q1 {' N! E1 @2 D# V
; I" L9 B- x$ ]

30行

// state reg( e( m  D- P7 P6 |4 S: y% Z
always@(posedge clk or negedge rst_n)
: Z0 x' g5 ]; Q# g8 C+ y* h8 z  if (~rst_n) curr_state <= IDLE;
& C+ _  u$ m) g# x( N9 a  else        curr_state <= next_state;

! g; O) b2 u4 i  }6 n  }$ p: a

使用1個always描述state register。

35行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
, C4 _7 V' K; q5 Q! Z. b// next state logic    % w! `1 ?9 f- d+ [+ w; q
always@(*)2 k; I: e) a1 |2 x9 j
  case (curr_state)0 W. t! ]1 H" M" U! ~
    IDLE    : if (w_i) next_state = S0;( b: h1 r% Y8 q- u  O# Z' v, [! l
              else     next_state = IDLE;0 A$ F0 u7 p9 Q
    S0      : if (w_i) next_state = S1;
: Z) V3 d; t' Y& b5 k; s: P              else     next_state = IDLE;
$ |$ b* b) p3 @" x: ^! S- j    S1      : if (w_i) next_state = S1;4 \1 n' P' m$ K" b
              else     next_state = IDLE;
" t& `4 d! S' x3 d( l6 B: z    default :          next_state = IDLE;
4 ^0 G$ q! `' ~2 V9 K6 l  endcase  [url=]http://common.cnblogs.com/images/copycode.gif[/url]: R8 ]5 w# r7 d7 Y+ O  j: h( J

& I5 m% y, g% n% ]: b4 {0 F6 t4 M

使用1個always描述next state logic,為純粹組合邏輯,所以使用blocking。

47行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
  J& M: `4 z+ C2 S" e5 I// output logic- k, ~4 ]2 R3 }/ w7 [! n
always@(posedge clk or negedge rst_n)9 X+ ]$ y; g& ]# O' U
  if (~rst_n) z_o <= 1'b0;& C0 u0 ?; t3 k+ j
  else - s. y' t' u* ~; }  Y
    case (curr_state)4 t, ~- g( |. |4 l
      IDLE    : z_o <= 1'b0;
: g6 [! q5 _: w8 t
      S0      : z_o <= 1'b0;
; j: e2 b, W4 X. P
      S1      : z_o <= 1'b1;3 ?2 v# y  c2 T  N+ n) ^
      default : z_o <= 1'b0;9 _! V0 B; `3 Y4 L6 I0 l% @+ l8 ^
    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]
+ k' y4 p% C8 L9 H3 w. w) i' l
  v$ K( r+ f0 m* P7 [: ~

使用1個always描述output logic,因為output logic也敲clk,只要判斷curr_state即可,不用擔心是否要提早1個clk判斷。

看到這裡,或許你會問:『為了timing好,多敲一級會多delay一個clk,若我output logic提前1個clk用next_state判斷,不就既可有較好的timing,也不會多delay一個clk?』

http://images.cnblogs.com/cnblogs_com/oomusou/201107/20110719225555853.gif

1.使用3個always (三段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201107/201107192310123304.gif

simple_fsm_moore_3_always_practical2.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
7 n1 Z  l: B2 W5 n 1 /* 3 |( [2 [9 P# @3 b
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
6 b: O/ s) Q( }+ W
3
8 P( X* P) w8 C1 d, P+ a$ V" \7 x
4 Filename    : simple_fsm_moore_3_always_practical2.v
, n+ ]! ]7 @1 n% `$ n' S
5 Synthesizer : Quartus II 8.1
/ x& ^1 x* K& h1 w+ Z
6 Description : 3 always block for moore fsm (BEST)
; T* Y1 _2 O' p* x9 d. Q
7 Release     : Jun.05,2011 1.0
/ [0 \, b3 e2 D
8 */( `$ J5 w1 e. i: K# i4 Q1 c) E( a( J
9
/ _- U- r4 J' Y2 a10 module simple_fsm (
) M% ?! v& R6 E" Y* A11   clk,
8 T4 ~7 H0 [3 I0 l% ?6 q/ X/ `12   rst_n,
& e2 s( z: e+ K4 ]; ?2 t( W% ^8 t13   w_i,
' @% X* K, v6 ^! l# C: u3 q- v14   z_o
1 \1 c& C: b5 F5 H15 );& Q8 v! Z+ _5 L0 |$ `3 @$ Z& o
16
; g; ^( G, O. r17 input  clk;9 G/ {. M; D& N2 M/ u
18 input  rst_n;
! x, A6 j5 K5 L19 input  w_i;; h0 K$ Q9 r- h% [4 y2 V9 O
20 output z_o;
: p+ r3 K6 j" `9 p. b7 l21
. j  z1 j" e5 l22 parameter IDLE = 2'b00;
; r3 H4 Z6 m& C+ L+ G
23 parameter S0   = 2'b01;
3 f5 C5 Q5 F# @& Z& c! I
24 parameter S1   = 2'b10;9 Q8 [' }* x" H8 j
25
. B7 t! C1 f' O26 reg [1:0] curr_state;. R1 n8 u: m0 m+ B; r7 z
27 reg [1:0] next_state;) V4 w2 t! V4 H# y, d6 t2 O
28 reg z_o;0 A# D/ t1 _1 e9 L3 `7 W7 Z8 \8 L
29
+ r* x" r* `8 x30 // state reg" T8 N4 M2 n1 ~8 V) A# Z6 z2 S
31 always@(posedge clk or negedge rst_n)
3 Q* H5 u( N0 e$ K2 \) Z- v32   if (~rst_n) curr_state <= IDLE;) w9 w9 R& |- r- e; M
33   else        curr_state <= next_state;1 o& A! n0 j  \6 M. h. G
34     - p! \7 N. W8 K% y- |' ^& j
35 // next state logic   
) ~* c  A& o( F4 B. V! ]
36 always@(*)
( A' z  F( U7 C) A37   case (curr_state)6 F' h; T: ^( _( `) N& g9 V; h" q- d
38     IDLE    : if (w_i) next_state = S0;7 e0 H! L" V3 ]/ b
39               else     next_state = IDLE;! _2 ]5 s- d! |( {
40     S0      : if (w_i) next_state = S1;
( d5 `4 i" ~" s: w/ h( f41               else     next_state = IDLE;$ {! ^- K& w5 z7 D) z
42     S1      : if (w_i) next_state = S1;/ l9 o' c0 E9 s
43               else     next_state = IDLE;( K. u  ^& O$ I
44     default :          next_state = IDLE;, D+ t2 F! I) M  x/ f0 G
45   endcase    6 H! Z2 K( v0 {) w. K1 U
46 4 n/ T8 h" j9 t6 L3 @/ G9 B
47 // output logic/ F- g9 r! A( m
48 always@(posedge clk or negedge rst_n)% X% q: y3 z" w. J3 b
49   if (~rst_n) z_o <= 1'b0;1 G1 R0 A' X( D' `4 U
50   else
( S+ X1 {) E7 Z' s/ c+ K0 z51     case (next_state)% E' w+ p9 f0 x* |% l6 s
52       IDLE    : z_o <= 1'b0;4 y4 l! M  n- c! i
53       S0      : z_o <= 1'b0;
- e# G+ S. K& P' a) h: |/ n% l$ M
54       S1      : z_o <= 1'b1;
$ x. ?/ C% J* E8 ^
55       default : z_o <= 1'b0;% p8 B8 e, x0 z7 V- O* h4 {2 l
56     endcase* B. V; j6 {; u, b& \: @
57   + D: {3 s/ D; A! h/ _7 O) ?) N6 s
58 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]
" m0 s' I; I3 k
& K# t2 N! G; B5 H. L

47行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
1 ~' M) }1 j2 ?9 D" b2 V8 M// output logic
7 V6 p6 b* ^9 Y. T: e; Y
always@(posedge clk or negedge rst_n)
+ U& ]6 g4 o; |9 I3 E; t  if (~rst_n) z_o <= 1'b0;
( u% B8 W! b4 y3 a8 ?: ]+ H
  else
6 F% C: `! z5 G; b& p, q    case (next_state)$ \3 g$ C+ P9 ]
      IDLE    : z_o <= 1'b0;+ Q4 W) u3 z+ D0 j: S' p
      S0      : z_o <= 1'b0;% u3 y0 J8 p0 k. N9 u3 y
      S1      : z_o <= 1'b1;
; F+ B5 u3 R- D, |. i# X/ i5 S
      default : z_o <= 1'b0;+ W" D' b1 j- M' f9 c4 }) R- r
    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]2 H! G. |$ g0 A

. G8 \. t' C( W6 v$ Q7 d

使用1個always去描述output logic,重點是,使用next_state去判斷,因此可以提早一個clk,這樣無論是在Simulator或者經過Synthesizer合成後的結果都會一樣,而且既可在output敲過D flip-flop,也不會多delay一個clk。

不過這種寫法也不是沒有缺點,由於next_state本身是一個純粹的組合邏輯,拿來當output logic的判斷,timing會稍微差一點,很可能critical path就出現在這裡,前一個例子的output logic用的是curr_state,是一個D flip-flop,沒有組合邏輯,所以timing比較好。

另外一個缺點是output logic必須判斷next_state,很容易出錯,觀念必須非常清楚。


7 y- J7 z- k1 o1 q( c* g, I  ]
 楼主| 发表于 2013-9-7 09:26 | 显示全部楼层
原文在这里/ ^3 J/ h& K& a+ b! U( B; l3 x; B
http://www.cnblogs.com/oomusou/a ... m_coding_style.html
回复

使用道具 举报

发表于 2013-9-7 09:42 | 显示全部楼层
是不是就是我们常用的有限状态机而已。$ b7 }& N7 x/ H; X) ^5 [
有“状态”“输入”“输出”这几个要素?
5 l6 e$ C2 S  n% r9 w什么是一段二段三段啊?' T2 _' H/ V3 L9 I
虽然我本身也是说粤语的,但是这些香港(台湾)的表达方式真的不大适应。
回复

使用道具 举报

发表于 2013-9-7 10:39 | 显示全部楼层
其实就是根据信号做任务/ i" b: d( i7 U+ F' e& X/ k+ ?
话说很少见讨论Verilog的帖子
回复

使用道具 举报

 楼主| 发表于 2013-9-7 16:13 | 显示全部楼层
跟粤语没关系的的确是为一段二段三段状态机。7 n0 ?0 v. R' I; _
也就是说一段由一个always 构成 二段就是两上always 三个就是3个always 哦。
2 t# M0 B( C/ U" ^2 K8 Z  X一个问题把它拆分越细综合越好,一般由二段和三段为多也有更多的,这个要看需要了。  m# K! ]  c: m5 o4 M
但CPLD和FPGA由综合器综合后三个不同的段是完全不同的结果时序和毛刺是否能达标,以后修改和移植是否容易等等都有好大的关系的。
回复

使用道具 举报

 楼主| 发表于 2013-9-7 16:17 | 显示全部楼层
之前帮人开发的第一代产品中用一段式维护好难老是有一些小问题,可以用但用起来不爽。
) |. c0 p9 E4 m( ]现在要改一下编法最起码从思维上改变一下。
回复

使用道具 举报

本版积分规则

QQ|一淘宝店|手机版|商店|一乐电子 ( 粤ICP备09076165号 ) 公安备案粤公网安备 44522102000183号

GMT+8, 2025-10-29 03:22 , Processed in 0.042991 second(s), 23 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2025 Discuz! Team.

快速回复 返回顶部 返回列表