一乐电子

 找回密码
 请使用微信账号登录和注册会员

QQ登录

只需一步,快速开始

微信扫码登录

手机号码,快捷登录

手机号码,快捷登录

搜索
查看: 3966|回复: 5

一段二段三段状态机(FSM)之间的区别

[复制链接]
发表于 2013-9-7 09:23 | 显示全部楼层 |阅读模式
之前一直烦脑我写的代码好难维护,在网上看多了发现人家说三段状态机多么多么的好,我就马上去查什么是三段式状态机。发现我之前写的全是一段式代码,怪不得我老是没办法提高自己的水平。4 l! {+ e0 v7 U0 I% z; {
所以我在网上找到一些特别有用的二段和三段式写法不但是给自己看的也是为提高自己的技术的各位看的。* ~! ~8 g9 ]2 b

8 D0 b4 T3 j" V, _$ ?+ R! u
$ ?$ d4 T" j/ e: U

Abstract  @# k! {. H8 R0 g/ p/ w
FSM在數位電路中非常重要,藉由FSM,可以讓數位電路也能循序地執行起演算法。本文將詳細討論各種FSM coding style的優缺點,並歸納出推薦的coding style。

Introduction, p1 z; N. g- ^' s
使用環境:Debussy 5.4 v9 + ModelSim SE 6.3e + Quartus II 8.1

本文將討論以下主題:

1.Moore FSM的架構

2.Moore FSM各種coding style比較

3.Mealy FSM架構

4.Mealy FSM各種coding style比較

5.實務上推薦的coding style

6.Conclusion

若要讓數位電路也能循序地執行演算法,最簡單的方式可以使用D-FF產生counter,根據counter的值去決定要執行不同的程式碼,如此也能達到使數位電路循序執行演算法的目的,不過這種方式僅適用於很簡單的演算法,在一般規模的演算法若使用counter方式,程式碼將不容易維護,所以實務上會使用FSM方式來實現演算法。

其實FSM方式也是利用counter來實現,所謂的counter,並不是只有counter = counter + 1才算是counter,FSM的state register就是廣義的counter,只是這種counter不是一直加1而已,而是有自己的遞增規則。FSM只是提供了一種較為高階與較容易維護的方式來實現演算法。

Moore FSM架構

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106052038383584.gif

一般在寫FSM時,會以Moore FSM為主,所以先討論Moore。由上圖可知,Moore FSM內部由3個block所構成:Next state logic,State register與Output logic。

Next state logic:純粹的組合邏輯,以整個module的input與目前的state為輸入,目的在產生下一個state值存入state register。

State register:由D-FF所構成,將Next state logic所產生的state存入register。

Output logic:純粹的組合邏輯,根據目前的state產生整個module的output。

所以可以發現,整個Moore FSM事實上是由2塊的組合邏輯與1塊D-FF所構成,我們常聽到所謂的一段式、二段式與三段式FSM,事實上就是由這3個block排列組合而成。

Moore FSM各種coding style比較

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106052038396027.gif

為了要實際比較各種coding style,在此舉一個簡單的例子,若input w_i為連續2個clk為high,則output會在下1個clk產生周期為1 T的high pulse,timing diagram如上圖所示。

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106062055393332.gif

因此設計了Moore FSM,state diagram如上圖所示,接下來要做的就是用各種coding style來實現這個Moore FSM。

1.使用3個always (三段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106052154153519.gif

simple_fsm_moore_3_always_best.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
3 v: l) ]- J; ?7 v+ \$ v* [* m 1 /*
. x2 s3 I9 u% j, `1 h
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
* q$ j0 M9 l" A6 j
3 4 [( o( v) H8 d- f1 t
4 Filename    : simple_fsm_moore_3_always_best.v
# X. r& |1 r3 h+ y8 L
5 Synthesizer : Quartus II 8.1
5 J1 L- M; V. R7 q& m
6 Description : 3 always block for moore fsm (BEST)  ^, S! D+ y  K: |/ P% w
7 Release     : Jun.05,2011 1.01 m; v0 B% m; D! e. \3 F$ c
8 */
3 q& {& g( \  `* j( @7 ~ 9 . H) ?2 v( ~: u" ]
10 module simple_fsm (' c3 |  L3 g; }0 q
11   clk,/ R1 g( }- k4 c
12   rst_n,6 {8 I" y5 u; G
13   w_i,
) y' R; w, ]( Q$ ]14   z_o, U2 z' E; J- z9 M) A* M. N" f6 B
15 );7 Z- ?! }0 B& W/ O+ ]1 N/ x- w. j
16 0 N' m- ]) q. ~  m$ I% S
17 input  clk;$ W0 [$ O1 J4 l7 h$ f2 X" I
18 input  rst_n;3 |; W( [5 C0 J4 P. Z
19 input  w_i;
" L. n7 E3 _; h- Z, |8 }9 |20 output z_o;! R& }! N& n: [/ G2 k
21
: a5 Y$ K0 R% ]) F0 a  ^; v22 parameter IDLE = 2'b00;
/ z) o$ b9 T5 U+ z$ N  b2 {
23 parameter S0   = 2'b01;2 k4 h( x7 x5 L: N8 z
24 parameter S1   = 2'b10;. M4 ~1 \/ {' L+ z6 A- p$ L
25 8 f0 P) z$ U4 ]0 k
26 reg [1:0] curr_state;
6 n4 _* P6 Y, [9 r+ R3 `27 reg [1:0] next_state;; H& F, M! ]5 q) }- }! ]
28 reg z_o;
' n. Q* h$ A; \3 }; h/ T& ?29 : b6 ^0 p% M9 e, n( a. J9 i
30 // state reg* E" ^" v4 Y/ N- @# p
31 always@(posedge clk or negedge rst_n)8 f# X+ r) N* U, H2 X1 a  ~
32   if (~rst_n) curr_state <= IDLE;, e9 B1 w$ {/ d! h2 C
33   else        curr_state <= next_state;( [' j( u; C. s. _+ G
34     
& a) U; @" u' k" r. a) R. }3 I35 // next state logic   
3 j) p2 ?( I, r1 p5 K, m4 p' W7 g
36 always@(*)
: K! W  J( g3 ~% B4 K4 O6 k% L37   case (curr_state)
; q9 W' S4 D9 O7 S" S38     IDLE    : if (w_i) next_state = S0;7 s4 f8 J4 x% A7 Z- r% Z
39               else     next_state = IDLE;
, r7 L, G6 m7 Z/ B" V# a40     S0      : if (w_i) next_state = S1;$ e+ x8 e8 e& B- O5 n1 M- m
41               else     next_state = IDLE;
) E# a$ J$ F/ q0 i% b+ I; i/ R42     S1      : if (w_i) next_state = S1;; u4 ~& P4 z8 o- H6 ?. \  e
43               else     next_state = IDLE;: {0 g% J$ M, c, ^. r
44     default :          next_state = IDLE;
$ p& k4 N, y7 W45   endcase   
% E6 i7 L% q5 M: D2 o46
' G! t4 v; a$ s- N6 A4 S47 // output logic
+ K) _# |6 G) e: O0 I
48 always@(*)
3 O- h, n. h% D- U( l# J0 Q5 g% X49   case (curr_state)# n/ q. J- w4 H5 u) |8 o. \: X
50     IDLE    : z_o = 1'b0;3 t$ k4 e5 s3 `& T# v1 A1 E
51     S0      : z_o = 1'b0;9 M* F# \* _: z- o0 C, g7 V) s
52     S1      : z_o = 1'b1;: \9 W6 c$ _  N& m" i
53     default : z_o = 1'b0;
  v9 m, i! C# S: H  `" V. v
54   endcase
) e( i) P, R9 y# Z6 F6 S55   
% I0 @6 ^% M+ l1 e! R/ I6 y6 e56 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]
" j- b" e$ Z$ ^' X% v5 A# f- ^

& K& ~6 {& A# I0 S

35行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
! R# z6 z) o0 A4 w% J// next state logic    * z- h, H- ]" T! R8 {$ {
always@(*)4 g' N* @! c! M( g# k9 `
  case (curr_state)
+ [! X  g: f8 F% o3 F" m2 b  h4 F    IDLE    : if (w_i) next_state = S0;
+ p- h1 C! H# X+ J              else     next_state = IDLE;
2 k+ C+ {, m: @    S0      : if (w_i) next_state = S1;
" H  a7 k* q4 a. b5 t              else     next_state = IDLE;
" I+ [' F# W. g* F; ^4 r  Y: s    S1      : if (w_i) next_state = S1;
' e8 }" R. J" P# u$ m) K* F) J' F              else     next_state = IDLE;- C3 ^+ H8 J% p" a2 k5 @  l# `
    default :          next_state = IDLE;$ x9 o! s8 g' i: _" Q5 q
  endcase   [url=]http://common.cnblogs.com/images/copycode.gif[/url]. _/ E8 W3 B1 X- {; f8 X
6 n( p4 }7 y0 x6 n8 |9 m

使用1個always描述next state logic,因為是純粹組合邏輯,所以使用blocking。

根據Moore FSM架構圖所示,next state logic的結果與input與目前state有關,所以先用case對目前state做一次大分類,然後每個state再根據input做if判斷。

30行

// state reg
! ~* X! `; B4 [6 Z) n3 r8 p1 ~
always@(posedge clk or negedge rst_n)$ m7 {1 ^1 u8 h, T' C  I
  if (~rst_n) curr_state <= IDLE;' v8 J# }' E4 n3 |
  else        curr_state <= next_state;

+ k2 G) M  ]5 \- x) N

使用1個always描述state register,因為是D-FF且含clk,所以使用nonblocking。

由於state register區塊並不包含任何邏輯,所以不會因為不同FSM而有不同寫法,不同FSM只會改變next state logic與output logic的寫法。

47行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
2 F/ _/ m  s5 x0 X; a// output logic) Z& I$ b0 z: F/ X9 ]! G* C
always@(*)6 \8 H' [1 Y: o& d- ~
  case (curr_state)* J0 K) ]/ [; p9 @. e( [6 o$ G  i
    IDLE    : z_o = 1'b0;9 w7 g  K8 b* z1 c- F$ k
    S0      : z_o = 1'b0;
' ?# M7 U  o1 s  q
    S1      : z_o = 1'b1;6 ]4 x/ [# A4 C  Q9 d' I/ }) `$ {
    default : z_o = 1'b0;
- g9 k# w/ ^. j. s2 K% Q# ?
  endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]
2 _2 s1 _4 q( I# R2 q
8 u- p) }2 T' Z1 `

使用1個always描述output logic,因為是純粹組合邏輯,所以使用blocking。

根據Moore FSM架構圖所示,output logic的結果只與目前state有關,所以只需用case對state做一次分類即可。

使用3個always寫法有幾個優點:

1.可忠實地反映出原本的Moore FSM硬體架構
0 H& m$ v& y4 L6 t% N2.可輕易地將state diagram改用Verilog表示8 `7 `& W( \) t  I- w7 u& @, G
3.將Next state logic與output logic分開,可降低code的複雜度,便於日後維護

! o" x0 z5 N$ h) D5 m

3個always是一個推薦的寫法。

Testbench

simple_fsm_tb.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]4 t+ ~) d# s1 A- p# N, S/ I
1 /*
: C7 K. R: B  [' x( l3 D1 s7 L3 c
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
  ?: }/ x: d! |) \
3 . {' H& |8 N1 @7 V( ^2 C* h
4 Filename : simple_fsm_tb.v( ?1 u  P# F  Y2 q, G& B
5 Simulator : ModelSim SE 6.3e + Debussy 5.4 v9
9 M  p, Z$ O1 r- |
6 Description : testbench for FSM
7 G' t. D# R- d# t/ _8 Q
7 Release : Jun.05,2011 1.0
. B0 H- X9 t+ \3 i
8 */
: r4 a0 a' ?2 H# C& Z3 b9 ; ~, l0 ^+ {8 |
10  module simple_fsm_tb;
6 n; w' I- _9 t& H, U2 F% [5 G11 ) B5 S4 V9 y7 X( a
12  reg clk = 1'b1;
; D6 V, p6 W, [; ~& e
13 reg rst_n = 1'b1;& {5 ]7 H, T5 b# a/ j
14 reg w_i = 1'b0;$ B, A# q* A, x/ R/ e
15 wire z_o;! _$ G& t/ V& v8 E- H+ q& }0 o
16
+ }9 S0 h2 q: g' V17  // clk
& |1 h: r$ T* ^
18 always #10 clk = ~clk;  Y% o6 U1 f- _2 k4 i# Q
19
8 ?( b" ]1 b, q0 c' D20  event after_rst;# C$ k6 B+ j' s( d! e& S2 m
21
; B* i7 U, \: q22  // rst_n
5 F/ |. y1 t* V. H0 W; S
23 initial begin* a* z6 _) U2 M. v% Y
24   #6; // 6ns
6 n4 s) t8 I1 P8 V; q' T$ y
25 rst_n = 1'b0;
2 P) V  G7 X9 o, h
26 #30; // 36ns; m/ i- I( N7 G. r1 c
27 rst_n = 1'b1;$ u% q+ O( y4 o1 [2 _
28 ->after_rst; ! h- N4 @' }. f+ `* f- J& E
29  end
, z+ W$ G( q! Y5 R) H30   y0 d2 A! P/ ]) K/ K) @" c
31  // w_i9 K* P* }1 N/ x
32 initial begin3 {- Q' A  }2 x
33   @(after_rst);1 }4 P! n% j* Z# F3 j9 J$ M
34   repeat(2)@(posedge clk); // 60ns$ I: q' {/ U  l; K* m1 Y& |
35 w_i <= 1'b1;
  H3 @9 e+ f: n" X3 g. r4 c
36 @(posedge clk); // 80 ns
$ U, L) B0 h7 @. [1 Y) u- }
37 w_i <= 1'b0;
, `5 O) |) y2 c. }" q
38 @(posedge clk); // 100 ns
" t% i1 I6 R# w! s7 m
39 w_i <= 1'b1;4 b* P4 X& q6 Q+ p# _# \2 M. c
40 repeat(2)@(posedge clk); // 140 ns1 W: G1 e/ c2 B7 {5 x! q
41 w_i <= 1'b0;
6 v& Q; M2 C/ i8 j2 L
42 @(posedge clk); // 160 ns
9 w' G* d$ N3 g0 v
43   w_i <= 1'b1;
3 P7 ^/ Z1 h. b6 K; v8 z# o
44   repeat(3)@(posedge clk); // 220 ns$ F" p7 _# R) Y+ r/ g
45   w_i <= 1'b0;
/ i) V: a! W" [) h/ o4 h0 l
46 end
+ Z% T( L* _' C. j& f  v, I% I47   j( i; n* h; j' h) y
48 initial begin
9 u/ P* e7 n/ d) {1 Y49   $fsdbDumpfile("simple_fsm.fsdb");) `0 B. D; s6 l! E0 O+ p# e
50   $fsdbDumpvars(0, simple_fsm_tb);
2 o% i' _5 p5 u* N5 O+ e: ^51 end9 O/ G4 R1 t; s- v. U
52
' A0 {6 y: ~9 W( f53 simple_fsm u_simple_fsm (
6 {8 \5 m, w6 G8 z54   .clk (clk),
4 H; L# n( e/ W1 K; g( j1 l5 B" N55   .rst_n (rst_n),
& _4 [0 a, d1 _' G. |& U56   .w_i (w_i),
+ X/ B! _) U2 X% f57   .z_o (z_o)
# R  h4 }! k; ?5 o% c+ R/ ]) G58 );* ?7 K8 @# A. R" i9 c( t# J
59 . c/ N8 H- {& l2 N& ^. v4 w
60 endmodule0 \: g' g+ p( X/ i  p
[url=]http://common.cnblogs.com/images/copycode.gif[/url]8 m* H- {! l3 t6 |7 M' @- F
" L) P1 j7 V. |% a& _; z

執行結果

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106060949236708.gif

2.使用2個always (二段式)

由於要使用2個always去描述3個block,根據排列組合原理,C3取2,共有3種可能,我們一個一個討論。

2.1 state register與next state logic合一

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106061010415140.gif

simple_fsm_moore_2_always_0_cs_ns_good.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
( d7 D* J0 g) H/ ] 1 /*
0 s7 n* P6 i, F. T" |
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
) g7 \% W, M+ K9 ?* C$ U
3
% a0 V5 V  m9 J+ \  T; t+ R- d
4 Filename    : simple_fsm_moore_2_always_0_cs_ns_good.v( |( X' k9 k3 p$ ^7 B/ {3 J
5 Synthesizer : Quartus II 8.1
1 Y9 O: l3 j# a
6 Description : 2 always block for moore fsm (GOOD)
( ?( y6 |; o; Q5 L  D, @% ?
7 Release     : Jun.05,2011 1.08 N7 _# ?  C3 `; J8 ?& e! C
8 */+ ~3 }( \4 H* q+ f$ Y) Z
9 + D4 s8 Z2 f* j
10 module simple_fsm (
7 u: ~* z! `3 R11   clk,
; [, N& a' N9 n- {6 @; \, c12   rst_n,; R! w& p, e. C# e7 b2 S& o" ?, _3 ?
13   w_i,- L' o5 K# n- f) x% s7 L! }
14   z_o7 }& b) t1 r% \; X* Q% M# B5 B
15 );8 b& h  [% l% P6 l7 X6 |' T8 q
16 8 e6 i$ D! [. X/ v. N& o
17 input  clk;
4 J! S; x" U7 g+ J9 i" c18 input  rst_n;( ?1 P- y5 [: _
19 input  w_i;/ t0 d3 [* u: [; p6 B
20 output z_o;
3 h  P* A, @- m! I2 k5 \21
, i) h( B' C( N3 Q- ]1 o22 parameter IDLE = 2'b00;
& @7 u/ Q# X) T  Y
23 parameter S0   = 2'b01;9 H- h& b# m/ D: ^" u& F9 Y: h1 I5 [
24 parameter S1   = 2'b10;6 P6 L! k1 J0 p) V
25
2 E4 b3 L+ V" l! y. t. z0 b. D" u26 reg [1:0] curr_state;. i1 j' i! k3 f# e1 o1 Q
27 reg z_o;
! N( Q6 n. f/ b+ v, [* [% a/ @28
" J( E- g& C7 E* G! t, g29 // state reg + next state logic
8 n+ p" X; O/ s4 m
30 always@(posedge clk or negedge rst_n)8 {! @/ L1 S3 M; I. Z
31   if (~rst_n) curr_state <= IDLE;
' D, J  V* [4 [( u- i) y2 R1 p32   else7 |+ {7 f; h" c- b! d+ g
33     case (curr_state)
1 t- r  T$ e- N34       IDLE    : if (w_i) curr_state <= S0;
) g: F" Z" B  X: \; y35                 else     curr_state <= IDLE;" y* i0 S+ I1 ^  x' \5 y9 a
36       S0      : if (w_i) curr_state <= S1;( A) ?; a  G1 f; b5 ~: G) |0 l
37                 else     curr_state <= IDLE;+ k) ~. e& S. h! A8 i
38       S1      : if (w_i) curr_state <= S1;
3 X2 v) W. \  F  w0 ?39                 else     curr_state <= IDLE;
* @& B/ v' P- o/ k6 \0 @" T$ ]2 R40       default :          curr_state <= IDLE;
. Q# i! @+ x& ]: m! ?41     endcase: t; |7 Y1 p' ?  A' U9 J. ~
42     ! r0 `2 m/ S8 a  X
43 // output logic* ]8 b/ m( z( u% @2 t. }
44 always@(*)
8 S9 U% X8 x7 Q, K& ^& K- ]  H45   case (curr_state); @7 G3 M4 i& ^  r7 L
46     IDLE    : z_o = 1'b0;
  u2 [6 e7 I+ `1 k
47     S0      : z_o = 1'b0;
2 @; A1 D2 s: v2 k# t" A
48     S1      : z_o = 1'b1;2 q3 G4 L, z8 b! ^
49     default : z_o = 1'b0;
. h% w- M  e$ H( }3 x( |! H  ?
50   endcase6 X( P3 R- X% D: c4 C# z2 i) t$ w
51   
/ q* U# B& S2 F) b7 B/ G0 ]52 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]
- X3 p6 g4 `. w  ^7 x6 F
1 u& D$ ^. K! G! v! j2 _

29行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]7 k: z4 X1 z# ~
// state reg + next state logic
6 [2 v# i% V7 o  u' C3 o/ b/ B$ L$ i
always@(posedge clk or negedge rst_n)2 [) |* L0 g  S/ [  q5 W. r
  if (~rst_n) curr_state <= IDLE;
' @: p% \  I: _/ w  else4 u0 o$ z' Y1 |  ?
    case (curr_state)
) f. A) A8 C0 W* J      IDLE    : if (w_i) curr_state <= S0;! b0 b' _* q6 k+ I0 |
                else     curr_state <= IDLE;
  B# L4 G6 D7 z2 t      S0      : if (w_i) curr_state <= S1;
$ j4 K, ^: U: P8 Y7 y7 H                else     curr_state <= IDLE;
3 _1 Y5 R; H4 r+ s      S1      : if (w_i) curr_state <= S1;; L; a; V  h9 k* }: Q1 S. `
                else     curr_state <= IDLE;# f: y# f& C  n+ @5 ]  E. r' M
      default :          curr_state <= IDLE;; F8 ]$ `, T) }' o/ @! ?0 U
    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url], \, t0 X+ l! w$ r8 N; q

* t- r- }3 ^8 e1 F3 m

將state register與next state logic合起來用1個always去描述,雖然next state logic是純粹的組合邏輯,為了遷就於帶clk的state register,且要用一個always描述,就必須改用nonblocking。

由於state register與next state logic合一,所以可以少宣告next_state reg,不過這並不會影響合成結果,只是可以少打幾個字而已。

因為next state logic由input與state所構成,所以先用case對state做一次大分類,然後每個state再根據input做if判斷。

43行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]) J# P3 f* U4 F1 n
// output logic
7 e/ p5 s7 g2 M* E
always@(*)6 Q6 _8 g) r1 i8 n) |
  case (curr_state)
4 ~# O- \& }/ a4 p0 F    IDLE    : z_o = 1'b0;' a; f, d' V( w4 }
    S0      : z_o = 1'b0;2 i, R7 U/ W+ ]. ?
    S1      : z_o = 1'b1;: I* q1 @7 t$ e: D) h( a
    default : z_o = 1'b0;9 @! f5 [6 j$ ]- Z
  endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]
, _. L" V. J, R! `! `) @

! v" {% x8 ?& h# _8 _5 y" V" l8 M

使用1個always描述output logic,因為是純粹組合邏輯,所以使用blocking。

根據Moore FSM架構圖所示,output logic的結果只與目前state有關,所以只需用case對state做一次分類即可。

使用2個always (state register與next state logic合一)寫法有幾個優點:

1.程式碼較3個always寫法精簡: \1 `9 t* x& A' x! r
2.可輕易地將state diagram改用Verilog表示# c3 r9 @/ C: G8 m, [. |
3.因為state register原本程式碼就不多,將next state logic與state register合一後,next state logic仍與output logic分開,因此不會增加code的複雜度,便於日後維護

9 Q8 f- G+ t( Y7 y6 E

2個always (state register與next state logic合一)也是一個推薦的寫法。

接下來要討論的都是不推薦的寫法,主要目的是了解為什麼不推薦的原因。

2.2 state register與output logic合一

http://images.cnblogs.com/cnblogs_com/oomusou/201106/2011060610403484.gif

simple_fsm_moore_2_always_1_cs_ol_ng.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]* X& z  e( B# n; U
1 /* ! l5 _, _* l5 W& ^( h7 a, W/ U
2 (C) OOMusou 2011 http://oomusou.cnblogs.com0 C9 x, U0 X. B! U
3 9 Y+ u- L2 n4 @, j) [' a
4 Filename    : simple_fsm_moore_2_always_1_cs_ol_ng.v
; G7 G5 K$ c8 i" ~5 d* R7 U
5 Synthesizer : Quartus II 8.1
, |  g4 C) D% H: `6 e
6 Description : 2 always block for moore fsm (NO GOOD)2 q5 n# g" z* [+ A
7 Release     : Jun.05,2011 1.0
) G! x, ~) m: F7 G. ~7 k: K
8 */
9 _) s6 k7 W( R% G 9
0 G, ^  o2 {# w/ a& G; r7 ]10 module simple_fsm (
2 d+ Z9 v9 {& q* z7 s, A11   clk,% d' b; B  M# \) H; _
12   rst_n,
, ~- t7 i' `+ d3 J# c4 f# I13   w_i,
; c: m4 }" [  G& e: ?& E4 z14   z_o
% t" n0 P" I& H4 w15 );
0 {2 N* Z7 J6 j" z2 f' o16
# O. B8 o6 i2 M8 c  q17 input  clk;
+ `/ D$ q$ w0 y# D. q18 input  rst_n;
" z* T$ t, n$ K3 t5 J- p8 N19 input  w_i;
# O' @" M* E* T, E20 output z_o;' v( U5 e9 o( C/ |
21 ) v/ |: \0 v9 F4 Q$ b' L% E  g
22 parameter IDLE = 2'b00;
- d9 t3 P6 }2 }6 l  f
23 parameter S0   = 2'b01;8 S. h. r0 {* e! }7 o: R; G
24 parameter S1   = 2'b10;. Y* y$ p& X& K  k8 l1 N2 s% ~
25
! h& C3 n* R$ G' U/ w26 reg [1:0] curr_state;
. C+ G4 u/ g" g  N2 u27 reg [1:0] next_state;
$ |! L7 u- M7 t: ]& Y- F, v28 reg z_o;) l; g. j' c+ i# i- n9 ~6 L
29
1 t3 t% ]  x9 P1 c- s. x30 // state reg + output logic
# v. o( W, I/ h3 `' S6 C
31 always@(posedge clk or negedge rst_n)
/ C2 n) [! ^$ h( x32   if (~rst_n) {curr_state, z_o} <= {IDLE, 1'b0};
* c7 _, P: o" N+ Y1 d8 E% S
33   else begin
0 }- @( o& S1 D0 K! J2 Y0 ^. b8 c34     curr_state <= next_state;1 o$ Y1 r, }9 K/ h+ e. O6 t: s
35     
# n' E2 y3 m, r. {6 E36     case (next_state)/ i0 b2 f) k' e* G+ m
37       IDLE    : z_o <= 1'b0;
8 W! F5 y) N8 S( F* F, B3 M
38       S0      : z_o <= 1'b0;
! m* l) q" x0 v: N
39       S1      : z_o <= 1'b1;
: K: C# K) C# ]* d5 Z
40       default : z_o <= 1'b0;
+ ~; A' z$ q% g1 m0 h/ [
41     endcase
3 u5 {* D; b! u4 ~42   end. d% [' }1 U* {, \( a0 \" P
43 1 r5 Y3 s  C1 `4 z: P; J  D. y; v
44 // next state logic   
6 h9 I! U6 G8 Y. O$ s! `
45 always@(*)
% A' y2 w! ~: d' U. l& M46   case (curr_state)" s! W: H; C. E4 ~
47     IDLE    : if (w_i) next_state = S0;+ ~: R# {" w0 H+ \' E- ?0 P( R* Q
48               else     next_state = IDLE;: S( C" @/ J' G: c. i" `# Z
49     S0      : if (w_i) next_state = S1;
, i) t- I" U4 C. D50               else     next_state = IDLE;
7 V/ y6 Z8 ~* _& R: r( X& J51     S1      : if (w_i) next_state = S1;
9 Q2 G9 O" S& f% _3 y52               else     next_state = IDLE;
, ]) L9 |* ~  z53     default :          next_state = IDLE;
8 ]$ r3 ]8 q: M1 [  r! C4 u7 V54   endcase   
6 v. U9 J. X. E: a55 / {7 n# |" t! T# ^! M6 F% j
56 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]
# X: [& a* I5 F4 F! q. H

( E2 Q# x  K' w# T* ?9 _

30行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]: D! g7 y! k5 h! A$ a/ x
// state reg + output logic) F4 E& E9 {! G8 @5 T' q, I
always@(posedge clk or negedge rst_n)
5 x3 D) L! o. ?5 C# ^  if (~rst_n) {curr_state, z_o} <= {IDLE, 1'b0};: D8 |; K! F% r, I
  else begin" }0 x5 g) S% }' Y) b7 A, `
    curr_state <= next_state;
1 H" h3 v. a2 K/ I   
. k2 P( H) Y. D& w2 F+ s    case (next_state)% u! z5 D* B! G' |6 w$ ]1 G, F
      IDLE    : z_o <= 1'b0;+ s1 O$ h8 Q$ d- G
      S0      : z_o <= 1'b0;
" i/ y9 D8 A- C& V0 W0 }2 R
      S1      : z_o <= 1'b1;
+ V( l; z/ y" N0 Y; E
      default : z_o <= 1'b0;4 k  k2 V( o  e4 h+ y
    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]0 I3 @+ |! f( o3 p) F1 @

, B3 e6 C4 A2 J2 ?

將state register與output logic合起來用1個always去描述,雖然output logic是純粹的組合邏輯,為了遷就於帶clk的state register,且要用一個always描述,就必須改用nonblocking。

因為output logic只與state有關,所以只用case對state做一次分類即可。

這種寫法最大的問題是:output logic必須用next_state去判斷!!

依照Moore FSM的架構圖得知,output logic只與目前state有關,之前的幾種FSM寫法,output logic也是由目前state去判斷,為什麼這種寫法要靠next_state去判斷呢?

主要原因是根據Moore FSM的定義,output logic只與目前state有關,且是個純粹的組合邏輯,但目前強迫將state register與output logic放在同一個always,迫使output logic必須使用nonblocking的方式呈現,也就是若output logic仍然使用目前state去做判斷,則output logic會多delay 1個clk,為了讓output logic結果正常,只好提前1個clk做判斷,也就是提前到next_state去做判斷

所以當我們從state diagram換成Verilog表示時,若使用2個always,且是state register與output logic合一時,必須很小心要使用next_state去做output logic判斷,因為這個地方很不直覺,很容易出錯,所以不推薦這種寫法

44行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]: G4 }0 {0 O, [% [
// next state logic   
4 d/ V9 U0 O' z5 z
always@(*)
% D: Q5 J: @' F/ q  case (curr_state)' k, D8 q, H! H# q, S
    IDLE    : if (w_i) next_state = S0;* f& w# ?4 T, g) b/ I+ r0 s& N
              else     next_state = IDLE;/ U) Q% v$ e/ J8 u* L
    S0      : if (w_i) next_state = S1;
% n+ u) ~/ Y" _0 @              else     next_state = IDLE;4 i9 P; {0 F8 s/ ]2 w, ]4 k$ ~
    S1      : if (w_i) next_state = S1;
$ C3 K- Z; w) z$ C7 m, Y* W# `              else     next_state = IDLE;
' p* o" B1 o# e; }    default :          next_state = IDLE;; o2 G1 H0 b; n8 J# U- s
  endcase    [url=]http://common.cnblogs.com/images/copycode.gif[/url]  F& V, ^; k2 \; W1 _
" P* U: C* g; U1 y) _5 m+ M* p

使用1個always描述next state logic,因為是純粹組合邏輯,所以使用blocking。

根據Moore FSM架構圖所示,next state logic的結果與input與目前state有關,所以先用case對目前state做一次大分類,然後每個state再根據input做if判斷。

使用2個always (state register與output logic合一)寫法的缺點:

當使用1個always去描述state register與output logic時,output logic必須使用next_state做判斷,而非用目前state判斷,由於寫法不直覺,一不小心很容易弄錯
7 B4 p/ ^7 }: L9 }" H# g

不推薦2個always (state register與output logic合一)寫法。

或許你會說,在實務上卻常看到state register與output logic合一的寫法,為什麼不會出問題?那是因為儘管是用Moore FSM,我們為了timing更好,常會在output時多敲一個D-FF,讓Output Logic的組合邏輯不要與其他module的input的組合邏輯合併,避免造成critical path,假如是這種需求,state register與output logic合一後,可以直接判斷curr_state,不用提早一個clk判斷next_state。

2.3 next state logic與output logic合一

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106061539099897.gif

simple_fsm_moore_2_always_2_ns_ol_ng.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
3 R, e$ e, s! _5 q; Y+ Y9 q! g 1 /*
! O- C" z4 E/ n5 N; y2 O4 v
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
0 Y# z, i+ H8 m5 O. w; j
3
% ]' A% T, F) a+ }$ h1 K( o
4 Filename    : simple_fsm_moore_2_always_2_ns_ol_ng.v' n- _" B* j+ t: Y: c' [
5 Synthesizer : Quartus II 8.1# s; |" T+ G) S3 c! c
6 Description : 2 always block for moore fsm (NO GOOD)* [4 F7 S1 R6 p( t  V9 N$ V; ?1 ]
7 Release     : Jun.05,2011 1.0
$ R" Y& e9 x! d. K& C" h3 R
8 */4 ]1 \* E1 L# {& o
9 - i  F6 L# W/ J& O) p! m5 i) R
10 module simple_fsm (
2 L! z3 ~( ^! j+ F1 u* C11   clk,0 d# P$ E/ Z5 r/ g% C8 n
12   rst_n,& v$ R% b( h. s* c! W. H
13   w_i,
* _' }( e# ^* h% }" d) G( }& S14   z_o
% I. y& x& o, @7 D9 s: r3 V15 );
7 ?' e- g: j/ U. r16 $ e' w- b  i4 t
17 input  clk;
" X; z) X2 Z) w7 `18 input  rst_n;) N$ C; t8 |) E% z
19 input  w_i;
- L$ e3 F5 t5 R) @3 G6 |20 output z_o;( l  n2 g6 r. M& P& i( D) b
21 9 g9 e) ~, @: z2 ^$ v/ j6 @
22 parameter IDLE = 2'b00;& a$ X' U6 Z( w' W/ o
23 parameter S0   = 2'b01;
: V' k' P& k; q6 v
24 parameter S1   = 2'b10;6 a8 X) `( {  c8 x
25
6 I! w1 ?4 m( I0 U* K26 reg [1:0] curr_state;
$ r0 d9 W/ u! }0 B5 v27 reg [1:0] next_state;% [. ]' s. Z  p# I" B. E0 R
28 reg z_o;
4 @; y2 }6 W, D! n# v8 g29
. g7 K5 W/ G: `, ?4 ]30 // state reg
6 t4 M, H4 @' v  w# ?
31 always@(posedge clk or negedge rst_n)( _' O( I+ T- \$ Y0 M! Y1 T
32   if (~rst_n) curr_state <= IDLE;4 T$ O% m$ o7 o3 U1 w
33   else        curr_state <= next_state;
  H- n% K( Q* |4 e, v34     
) L2 p: U0 Q3 f2 _  h1 x35 // next state logic + output logic 4 R3 i0 [9 }* L
36 always@(*)& C+ l3 T. |& |- l7 B
37   case (curr_state)4 C* D3 j9 g0 @9 G/ D! L2 j
38     IDLE    : if (w_i)   {next_state, z_o} = {S0  , 1'b0};
6 s* |( P0 }8 h9 R5 p0 M: C
39               else       {next_state, z_o} = {IDLE, 1'b0};
( o' P, f7 D" U1 K0 B" j3 P
40     S0      : if (w_i)   {next_state, z_o} = {S1  , 1'b0};- B5 Z8 E* w, X
41               else       {next_state, z_o} = {IDLE, 1'b0};# C# Y+ _0 F8 A9 [0 U. t
42     S1      : if (w_i)   {next_state, z_o} = {S1  , 1'b1}; // always output 1'b1
/ c; x; Q8 C5 N9 @43               else       {next_state, z_o} = {IDLE, 1'b1}; // always output 1'b16 G: c8 Y7 b1 Z% o0 x* N, ]
44     default :            {next_state, z_o} = {IDLE, 1'b0};3 j0 B/ f; ^/ \% B0 V. a8 D
45   endcase
% {, [7 d! \, Q46 / T$ o+ O# F+ t. F' h  o
47 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]% m7 u! m& \. v$ g, f: D, b/ |
6 ~8 I, @5 h& G9 T3 @

30行

// state reg
" R3 T) v& Q# f/ i
always@(posedge clk or negedge rst_n)
" t% E# W8 n( B! [7 K5 _  if (~rst_n) curr_state <= IDLE;
% V: t0 J3 I2 ^3 ]. h8 s2 Q1 c  else        curr_state <= next_state;
0 e- J9 H! `; R1 g

使用1個always描述state register,因為是D-FF且含clk,所以使用nonblocking。

由於state register區塊並不包含任何邏輯,所以不會因為不同FSM而有不同寫法,不同FSM只會改變next state logic與output logic的寫法。

35行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]+ Q# T  V* c6 i( H: r" d
// next state logic + output logic
4 g/ c7 L6 p! M% p, A0 c
always@(*)
5 H& j( E6 f+ ]+ H; e( z  case (curr_state)
# {8 ~* a! h3 W5 z' @% t. ?    IDLE    : if (w_i)   {next_state, z_o} = {S0  , 1'b0};- n; z1 K+ G+ `
              else       {next_state, z_o} = {IDLE, 1'b0};$ X$ p/ m* d: J
    S0      : if (w_i)   {next_state, z_o} = {S1  , 1'b0};
* }9 }6 P! `# d
              else       {next_state, z_o} = {IDLE, 1'b0};
3 z2 B2 g! f2 @9 X2 p
    S1      : if (w_i)   {next_state, z_o} = {S1  , 1'b1}; // always output 1'b1
% ^; M6 M" X+ m, X              else       {next_state, z_o} = {IDLE, 1'b1}; // always output 1'b1+ B0 {7 R4 V, g( [  }
    default :            {next_state, z_o} = {IDLE, 1'b0};
, J) z; ?; T" D& F4 J2 [: b6 ?
  endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]
/ I' h* w" D1 p, @
) g. J2 {6 r+ i4 t; A

將next state logic與output logic使用同一個always去描述,由於next state logic與output logic都是純粹的組合邏輯,所以使用blocking描述沒有問題。

由於next state logic與input與目前state有關,但output logic卻只與目前state有關,因為都是先用目前state做case判斷,然後再對input做if判斷,所以會出現output兩次都出現1的情形,起因於output logic只與目前state有關,與input無關,固任何input都會出現1。

使用2個always (next state logic與output logic合一)寫法的缺點:

1.將next state logic與output logic合一只會增加code的複雜度,日後維護會更加困難
9 L% P, n" S9 _+ m8 [2.很類似Mealy FSM寫法,容易讓人誤以為是Mealy FSM
/ }3 ~, V" b! Y

不推薦2個always (next state logic與output logic合一)寫法。

3.使用1個always (一段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106052038403910.gif

simple_fsm_moore_1_always_ng.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]5 m" n7 Q& H! n0 ~0 j
1 /*
5 p4 l* O$ E9 g( E; P# `
2 (C) OOMusou 2011 http://oomusou.cnblogs.com% o1 y7 I1 V5 d) u7 Z& G( i# ^
3 : \& r8 u; P" s: q2 i, o
4 Filename    : simple_fsm_moore_1_always_ng.v8 t4 ]  |3 R/ C
5 Synthesizer : Quartus II 8.1$ t1 O" p* g) B* i+ v" z- R
6 Description : 1 always block for moore fsm (NO GOOD)
* a& A9 L( ]: R6 p; y: p
7 Release     : Jun.05,2011 1.0
; s$ M% {4 c! z) Q  q
8 */
8 B+ ~4 F7 w4 \' @' a 9 ! k# b& _. F) Q$ o, w9 E" n, H& G
10 module simple_fsm (
7 O1 {8 E: l" t+ |) {11   clk,9 y0 \+ @, P# q9 W+ y- v/ c
12   rst_n,
! ^0 p7 B. K9 ~1 ]5 u13   w_i,
% C. ^5 V7 i5 |  h3 {/ q" p14   z_o
9 T% [3 |) K& O0 k6 @. t( P2 O15 );
7 q. F; U2 p7 C( `6 i* V16
( l- g6 Z# U3 G2 M2 a5 F  f17 input  clk;2 r3 n' U& P" F) @5 a- w
18 input  rst_n;# B: W/ G; U" {; D$ n
19 input  w_i;( N1 w/ V) j2 ^; c4 x: S
20 output z_o;
* m! E4 A& l8 c+ A8 V$ s' z21 * M4 H& a) _0 q
22 parameter IDLE  = 2'b00;
6 C. G3 t$ V2 m
23 parameter S0    = 2'b01;
0 Y/ B2 \# A- t0 l# D
24 parameter S1    = 2'b10;/ P& J# S' o6 E7 C6 [4 e
25 : j. [/ U. G" C4 V$ d: |7 x0 C
26 reg [1:0] curr_state;
# j. B. d* e" B8 Z1 h) \27 reg z_o;
" K$ c( E; n9 a* o7 ^28 4 [1 \/ E+ W7 ^8 h
29 always@(posedge clk or negedge rst_n)" U. {0 I7 x. x4 }# Q
30   if (~rst_n) {curr_state, z_o} <= {IDLE, 1'b0};% d# r, e1 [' A1 `$ ?" ?
31   else
& x) N4 Z" o4 A3 l! ^32     case (curr_state)
& A* q  Q% _  @- f' A! o33       IDLE    : if (w_i) {curr_state, z_o} <= {S0,   1'b0};
$ g  w1 |1 j# m5 @) o! N
34                 else     {curr_state, z_o} <= {IDLE, 1'b0};
  v9 _( l; Y- G) R& E; ^/ b# l
35       S0      : if (w_i) {curr_state, z_o} <= {S1,   1'b1}; //?  t- m. S" f7 `- H: c
36                 else     {curr_state, z_o} <= {IDLE, 1'b0};
1 h' h8 Q! z- h2 O6 m& @/ b/ A
37       S1      : if (w_i) {curr_state, z_o} <= {S1,   1'b1};9 m& a9 ~+ y. r* F
38                 else     {curr_state, z_o} <= {IDLE, 1'b0}; //?$ ~7 `* c/ U$ V5 ?: I6 C- C
39       default :          {curr_state, z_o} <= {IDLE, 1'b0};3 t# C* Q3 }0 [8 B$ {! [
40     endcase) R( V% N1 u  W' o6 |
41
6 b" g( W  y7 \2 `' j42 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]
! D# E! K3 n. M6 E1 [
- N4 I+ U$ \7 r% w1 R; Q

29行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
4 B, p; s/ l1 t4 W7 oalways@(posedge clk or negedge rst_n)& h" a# s: @$ Q& U1 A
  if (~rst_n) {curr_state, z_o} <= {IDLE, 1'b0};
8 N( x7 P7 i7 U$ y, O
  else' ^, s3 J7 s! h' N) n' [
    case (curr_state)
9 ~1 e* D2 w8 C% s, ?      IDLE    : if (w_i) {curr_state, z_o} <= {S0,   1'b0};0 c5 Y+ n5 S& ~6 c( _5 H$ r  X
                else     {curr_state, z_o} <= {IDLE, 1'b0};: t4 o: H3 n% E3 _, I; t! w1 i& W
      S0      : if (w_i) {curr_state, z_o} <= {S1,   1'b1}; //?9 [! c9 I- U. L& D0 ~
                else     {curr_state, z_o} <= {IDLE, 1'b0};
$ d- }( K( \, O# H$ ?3 Y
      S1      : if (w_i) {curr_state, z_o} <= {S1,   1'b1};0 P' ^  I; u- S5 j5 o
                else     {curr_state, z_o} <= {IDLE, 1'b0}; //?! T9 H9 J$ A% V6 C
      default :          {curr_state, z_o} <= {IDLE, 1'b0};
: j: @9 e/ Z( E9 B/ C
    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]
/ h8 U$ _4 d1 s* T+ C" l, S

! W6 f/ j' g$ g- E

使用1個always同時描述next state logic、state register與output logic,雖然next state logic與output logic是純粹的組合邏輯,但為了遷就於帶clk的state register,所以必須使用nonblocking。

根據之前的經驗,由於Moore FSM的output logic只與目前state state有關,且是純粹的組合邏輯,若硬要與state register用同一個always去描述,判斷上會出現一些問題,需提早1個clk用next state判斷(在state register與output logic合一時曾經遇過)。

在1個always內,連next_state也省了,所以在35行

S0 : if (w_i) {curr_state, z_o} <= {S1, 1'b1};- x! V  r, O. }) t' S/ A

當目前state為S0且輸入為1'b1時,output必須提前為1,因為這是在nonblocking內!!

37行

S1 : if (w_i) {curr_state, z_o} <= {S1, 1'b1};' O5 L8 D" Q9 `/ P9 g  A) \. M9 b

同理,在目前state為S1且輸入為1'b1時,output也必須提前為1,也因為這是在nonblocking內!!

使用1個always寫法的缺點:

1.因為使用nonblocking去描述output logic,所以要提早1個clk判斷,要特別小心處理,一不小心很容易弄錯
  |9 i1 c9 G9 x8 ~9 s0 ^2.1個always內同時包含next state logic與output logic,會增加code的複雜度,日後維護更加困難

, R1 A. U9 R, g$ G

不推薦1個always寫法。

Mealy FSM架構

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106062055403233.gif

談完了Moore FSM,接下來談Mealy FSM,與Moore FSM的差別只在於Moore FSM的output logic只由目前state決定,但是Mealy FSM可由目前state與input共同決定。

Mealy FSM各種coding style比較

http://images.cnblogs.com/cnblogs_com/oomusou/201106/20110606205541692.gif

將之前的例子用Mealy FSM重新改寫,原本在Moore FSM下,若input w_i為連續2個clk為high,則output會在下1個clk產生週期為1 T的high pulse,若改用Mealy FSM,則output會提早1個clk出現,如上圖所示。

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106062055418151.gif

原本Moore FSM需要3個state,若改用Mealy FSM後,會只剩下2個state,接下來要用各種coding style來實現Mealy FSM。

1.使用3個always (三段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106062106115936.gif

simple_fsm_mealy_3_always_best.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]) q2 e% r$ w! b* D8 ^! O  V5 X- i& `- n
1 /* : |! y3 z8 C) \* X0 H2 P3 K
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
, _0 ]5 x, F. c8 U
3
" ?9 H" u2 ~( u* Q
4 Filename    : simple_fsm_mealy_3_always_best.v
, `. j* m4 S4 s0 @' p. F
5 Synthesizer : Quartus II 8.18 j- |7 f$ \* M# Q8 h+ j9 |
6 Description : 3 always block for mealy fsm (BEST)1 |: Y$ b) _2 G/ H: a5 {
7 Release     : Jun.05,2011 1.0
7 b- w" S  B) s! Z* |
8 */% i0 {. \5 A$ p5 a, C2 l( M  @# r
9
- q! I* _0 X- i/ K. Q! v7 p' p10 module simple_fsm (
) t" P! `! f+ F11   clk,
, c  {4 b& L4 v2 \8 w12   rst_n,
- g9 X# Z+ O; ]( r; {) K% M13   w_i,7 a) G( Y0 O3 D2 G3 _
14   z_o( W- x$ u9 J* E/ d
15 );) ]0 [- d/ [) B- u. f
16
8 A. G7 M! Q7 n0 ~$ I3 X0 c17 input  clk;
" v# Z( a5 E) l& o' @: L' N4 S18 input  rst_n;- Y. V( O. @' a# E9 i2 D
19 input  w_i;% {8 ?  f' v7 f1 @5 f: l! m
20 output z_o;
7 A/ e2 }% e7 e- t% O+ x! Z21
2 g9 t6 [) ], O; V# D! w22 parameter IDLE = 2'b00;+ f/ }* o" b1 [4 L$ _' ]
23 parameter S0   = 2'b01;
/ N  _& r0 X0 C6 ]( J5 I
24 7 s/ Z. X% W3 f8 Y- Q& Q' ]) I! s8 \
25 reg [1:0] curr_state;
: [" _" e! }; k5 l3 N# _7 U3 c: [26 reg [1:0] next_state;3 ~6 L; g  _6 W; m+ I
27 reg z;
! p" _1 f2 ?# O28 reg z_o;
$ W( _& }- i! m0 p$ ~4 g) S29
1 a7 I1 a" A; d9 V, |; Y9 M( j30 // state reg8 H( `  ~7 h5 I5 @
31 always@(posedge clk or negedge rst_n). s) A7 E3 ]) G# @* A
32   if (~rst_n) curr_state <= IDLE;4 ^5 e( S6 E1 W+ @; K
33   else        curr_state <= next_state;) m3 m; v. n2 ?) J
34     
, r0 o$ r1 i% `$ M! J( M  d' V35 // next state logic    ' F% h: q4 x% V) c, r
36 always@(*)0 H& \! M  p* y- Y1 D; B2 O
37   case (curr_state)" y$ ]! A* g/ H: y1 ]* Y
38     IDLE    : if (w_i) next_state = S0;
7 i; R& N" b6 k- X' q6 p1 L, g39               else     next_state = IDLE;  p2 `2 d! _  P+ K6 m
40     S0      : if (w_i) next_state = S0;# E5 |3 R  f5 B: \) Q
41               else     next_state = IDLE;
' p+ r# P6 v2 Z" {7 o42     default :          next_state = IDLE;. v5 w# U5 Q, N  M
43   endcase    6 ~9 @3 _* _, K# w7 E1 v
44
9 i! I) \+ i+ i' D" r45 // output logic
& x+ [7 J, z0 h5 t8 U& P$ S
46 always@(*)
; J" y" y! \9 F- P47   case (curr_state)
* z. I: e6 `2 d: R1 y2 i48     IDLE    : if (w_i) z = 1'b0;9 I. X2 G2 p" L. Q8 g% ]8 W/ K
49               else     z = 1'b0;      
0 y7 T- H/ ~4 _0 {
50     S0      : if (w_i) z = 1'b1;/ y; w8 Q: A2 Y2 f  v) j
51               else     z = 1'b0;
  [3 J. g+ \) i. m7 p5 z% K
52     default :          z = 1'b0;
) {7 h" J# R6 \% n
53   endcase+ x% ^$ z/ Q" {
54
& ^% S! T7 ?2 f55 // mealy output to delay 1 clk for moore  
6 ^1 g" {4 Z* V+ {  ^9 M. J
56 always@(posedge clk or negedge rst_n), n& P% P/ @+ T! D  J
57   if (~rst_n) z_o <= 1'b0;/ |: M5 p, `% z& Z( z& \" x
58   else        z_o <= z;
* R; W% O, }5 S8 X7 k59     
: k! \9 j* P9 c6 F  P" O- x; W60 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]  o. x4 k/ k4 N) W

3 [; i5 \+ v/ t7 b

30行

// state reg
8 `$ m; Q& j% ~) i
always@(posedge clk or negedge rst_n); @0 [9 Q4 b9 U3 |
  if (~rst_n) curr_state <= IDLE;
5 V- B3 R4 k- o$ }6 g  else        curr_state <= next_state;
9 M9 N+ r) g0 |& I" A

使用1個always描述state register。

35行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
2 B( C: S; w9 u! h* X2 {9 \// next state logic   
7 o* L4 S2 \+ X  G. @' [
always@(*)
) `3 K/ F; d5 {  case (curr_state)4 G) E8 T; C% v3 }5 n' h5 F& `, B  ?
    IDLE    : if (w_i) next_state = S0;
3 J' D9 j1 [9 r" c' O              else     next_state = IDLE;9 O, w5 z, E- f! |0 J
    S0      : if (w_i) next_state = S0;
2 y9 c1 s  G) t: _8 s7 L              else     next_state = IDLE;
  {7 o4 v) d/ p: h+ K: C    default :          next_state = IDLE;
4 H: A8 W# Y- r0 D) Q2 q  endcase  [url=]http://common.cnblogs.com/images/copycode.gif[/url]2 @2 b5 q+ x% q. s5 W
5 W' W9 H0 _0 j  [5 b3 F

使用1個always描述next state logic。

45行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]& A0 r9 n6 _# r5 Z; G' r
// output logic
) d+ U" b/ W, Q4 a
always@(*)) t2 R" k$ l" S+ X! I3 f
  case (curr_state)
$ [* d& ]3 w0 Z7 i9 L    IDLE    : if (w_i) z = 1'b0;2 |$ m0 S5 v& u/ H/ k' }
              else     z = 1'b0;      
3 B) W! _! U) w& F% C& C- d
    S0      : if (w_i) z = 1'b1;- a* V! r3 O/ V( z+ l- Q- M0 p) M
              else     z = 1'b0;
3 I' s' @* `/ V1 P' C. x
    default :          z = 1'b0;
( Y( B$ t; d; E: w" u
  endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]
, a/ O  o, ]( G  c) i% J9 a

* L5 q  Z# N5 C' o4 D) l* V; W

使用1個always描述output logic。

以上3個always寫法與Moore FSM的3個always並無差異,基本上只要state diagram畫的出來,就能等效地用Verilog描述出來。

55行

// mealy output to delay 1 clk for moore    {) A0 V) T6 B) u4 O' l" ]
always@(posedge clk or negedge rst_n)) ^* o9 L$ D' c5 M
  if (~rst_n) z_o <= 1'b0;
! o  {! O/ E2 v8 U: X- l
  else        z_o <= z;
3 X5 _" a; W" e

之前提到使用Mealy FSM會少Moore FSM 1個state,且output會早Moore FSM 1個clk,所以最後特別將output在敲一級delay 1個clk,這樣Mealy FSM就會完全與Moore FSM一樣。

使用3個always寫法有幾個優點:

1.可忠實地反映出原本的Mealy FSM硬體架構0 [, n& b9 ?7 x" l1 n  U
2.可輕易地將state diagram改用Verilog表示, U; H  _, G0 b; Y
3.將Next state logic與output logic分開,可降低code的複雜度,便於日後維護

: Q' U+ M* K1 x1 |8 Z$ p: P0 Q

3個always是一個推薦的寫法。

2.使用2個always (兩段式)

由於要使用2個always去描述3個block,根據排列組合原理,C3取2,共有3種可能,我們一個一個討論。

2.1 state register與next state logic合一

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106062204201676.gif

simple_fsm_mealy_2_always_0_cs_ns_good.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]& _7 s) F1 y% }1 T/ f4 S% x) ~
1 /* / b- I% R2 v0 i  _) L. ^/ }
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
0 m& ~' O) V* w; |/ y
3
" i$ Z9 W, I4 |: x2 x; @" w6 \
4 Filename    : simple_fsm_mealy_2_always_0_cs_ns_good.v1 A4 J' Y8 L  q3 x) }& J  y
5 Synthesizer : Quartus II 8.1& m1 o: S5 M- z4 L" n) k8 ]4 i( P
6 Description : 2 always block for mealy fsm (GOOD)  n3 J+ w: B' A9 {1 D
7 Release     : Jun.05,2011 1.0
6 O! t% F7 e( C/ [  q6 K
8 */+ ~* ~. \6 U. D; z4 d
9
/ X; E& w$ t; t" C10 module simple_fsm (( q) Y; h9 o( v' ]: c9 {
11   clk,
5 o; d$ M5 a$ q* E" C2 }12   rst_n,4 R6 B0 |/ U9 x7 x9 Y
13   w_i,
  {0 a$ Z" ~: w1 X( t' X14   z_o) j: t* m& E! f) P5 {
15 );
3 X; ~9 i7 r* W* S3 x$ b" }16
% {' W) J- C) O, I17 input  clk;! r/ N  ~- j- p. z1 r
18 input  rst_n;
! |) |7 c7 A5 a5 @! U" f' t( @% ]19 input  w_i;- Q9 d7 r$ P9 C1 f; _+ Q
20 output z_o;
+ e, R3 j9 |/ l21 8 |1 }$ i0 n( |( h! Q" l. c
22 parameter IDLE = 2'b00;
" d8 K% W6 Y" u- g) R+ N+ U  f8 u' q
23 parameter S0   = 2'b01;
% g% g. m2 r4 D, x" q1 b. p$ G. O
24
6 r; {9 ~7 S% C0 v+ Z0 z25 reg [1:0] curr_state;
. t) y/ h+ |) t3 S  A& W% q26 reg z;
: w6 m  Y, s% [7 {, R27 reg z_o;
! ~5 A: v+ M9 ]9 G0 m28 : H) k) ]% B5 e+ [
29 // state reg + next state logic1 m, o  R. U2 e  a
30 always@(posedge clk or negedge rst_n)+ X: V4 M  |( G
31   if (~rst_n) curr_state <= IDLE;
% L+ K+ s6 q4 A  I' h/ l32   else
- Q3 [, y/ E( B2 h  A4 w9 p33     case (curr_state)
1 I6 j4 {4 _8 c2 ?# Y* b34       IDLE    : if (w_i) curr_state <= S0;3 h/ {/ I: r# y: o. X+ u
35                 else     curr_state <= IDLE;
6 x4 T; [* h) U& Q5 a36       S0      : if (w_i) curr_state <= S0;
' K6 H- z& N/ c0 l4 R37                 else     curr_state <= IDLE;4 W' b# w  p! \' o/ j
38       default :          curr_state <= IDLE;( D6 D1 _8 d: ~8 E5 L
39     endcase
$ H# \% i5 G: p9 C40     - Q& }9 W( H$ [! J$ X  T
41 // output logic2 q' ]8 U$ v3 A' d' T# e9 l/ n
42 always@(*)3 e, ~/ O% |3 s' E
43   case (curr_state): j+ P* J' G! b) E0 v: }' A8 O5 h
44     IDLE    : if (w_i) z = 1'b0;( x8 F4 T, ^1 @+ S
45               else     z = 1'b0;% z) ]" m6 v/ j' u
46     S0      : if (w_i) z = 1'b1;+ \' a, w6 _4 `& Z) G1 ~) s
47               else     z = 1'b0;
* ?3 G# E0 L' U+ Z
48     default :          z = 1'b0;
8 R+ q8 ^" n- c
49   endcase+ T6 _" H! U! u( k: Q4 ~
50 ) p8 ~% @7 {$ V4 E/ h
51 // mealy output to delay 1 clk for moore  + m- E! B; M1 y2 d
52 always@(posedge clk or negedge rst_n)
4 ^& J3 `) L) I7 @3 |53   if (~rst_n) z_o <= 1'b0;/ E5 S% j8 p, ~  N
54   else        z_o <= z;! }$ _7 K# A6 p6 u" t7 E
55
  f- W( l- T4 v1 s/ H! d7 f56 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]
- f1 N; S3 T8 B7 y! G! ]
  F2 ]- n) y% M% F) Y

29行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]; w  B7 S% H( H0 D" k% c0 U6 y
// state reg + next state logic! E* L0 a0 D8 J" u
always@(posedge clk or negedge rst_n)) ]% d* U4 ]. h* J
  if (~rst_n) curr_state <= IDLE;
4 r) [$ p% ?) H: u/ Y  else1 X2 R3 |- O4 l5 W
    case (curr_state)
; i* i& x$ X/ t. c. H      IDLE    : if (w_i) curr_state <= S0;
# q) g' B4 A, ]% n3 r! K2 f3 b                else     curr_state <= IDLE;  m% ~4 v! @2 e8 w: v- J
      S0      : if (w_i) curr_state <= S0;
% T, _) L. o+ ^3 ]8 l, z5 ?                else     curr_state <= IDLE;$ c- a  Y3 ]; H; P3 u2 Z, N4 `9 Q
      default :          curr_state <= IDLE;
2 y+ E1 j% w- f1 W# X1 D    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]
, O$ X: B+ R6 m" l" n; B/ w8 H  Y

8 w2 T2 W" f) l/ h# _+ ?

使用1個always同時描述state register與next state logic。

41行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]% ]9 C0 H! v. M! c& l, S
// output logic
0 J" r. C) T2 U/ [: _
always@(*)
( X4 F$ c4 A" P0 s- l, I$ t  case (curr_state): p, P( |# \3 `
    IDLE    : if (w_i) z = 1'b0;4 f  X8 e* S1 B+ S( b; F
              else     z = 1'b0;6 x% k& U( {5 B; ]
    S0      : if (w_i) z = 1'b1;* k7 G& v: J) [2 n# m5 }
              else     z = 1'b0;' N' z* U+ _2 g$ Z
    default :          z = 1'b0;
. h* N/ k3 S4 n) L' N
  endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]: k' n; v. {3 }: g3 s- N2 h
* {* S) B& U8 w% T' \/ q+ |/ P

使用1個always描述output logic。

以上2個always寫法(state register與next state logic合一)與Moore FSM的2個always寫法(state register與next state logic合一)並無差異,基本上只要state diagram畫的出來,就能等效地用Verilog描述出來。

51行

// mealy output to delay 1 clk for moore  , {( Y3 p/ V8 ^/ f/ r, @7 I
always@(posedge clk or negedge rst_n)
( }1 [: g  j# R8 P: H  R  if (~rst_n) z_o <= 1'b0;
  w8 C8 O7 i" P, I# d* k6 U! w
  else        z_o <= z;
, F& b! o& O; K- s

之前提到使用Mealy FSM會少Moore FSM 1個state,且output會早Moore FSM 1個clk,所以最後特別將output在敲一級delay 1個clk,這樣Mealy FSM就會完全與Moore FSM一樣。

使用2個always (state register與next state logic合一)寫法有幾個優點:

1.程式碼較3個always寫法精簡
6 u- X. U* ^, z/ g6 a2.可輕易地將state diagram改用Verilog表示6 l: f& ^) l1 @
3.因為state register原本程式碼就不多,將next state logic與state register合一後,next state logic仍與output logic分開,因此不會增加code的複雜度,便於日後維護

, R$ ~" L1 G( K( e) G

2個always (state register與next state logic合一)也是一個推薦的寫法。

接下來要討論的都是不推薦的寫法,主要目的是了解為什麼不推薦的原因。

2.2 state register與output logic合一

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106070634371434.gif

雖然理論上可以用1個always同時描述state register與output logic,但實際上做不到,因為Mealy FSM的output logic是目前state與input的純粹組合邏輯,與state register合一後,就必須使用nonblocking描述,之前Moore FSM還可以提前一個state去做判斷,但Mealy FSM還有input,該如何提前1個clk去判斷input呢?

2個always (state register與output logic合一)無法描述Mealy FSM。

2.3 next state logic與output logic合一

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106070634388892.gif

simple_fsm_mealy_2_always_2_ns_ol_ng.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
" w# R. `8 ]! T1 _$ M 1 /* . W# @. a5 L& H, X$ w) U: y3 ^
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
( u& n- |! b! q4 h
3
( ^$ v' G" z- W0 F5 L
4 Filename    : simple_fsm_mealy_2_always_2_ns_ol_ng.v9 j) ~$ E5 S' @6 L; S/ g7 C
5 Synthesizer : Quartus II 8.1  B2 K: g+ B" Q  E
6 Description : 2 always block for mealy fsm (NO GOOD)% L9 v7 ~+ M8 B8 O! Z5 g
7 Release     : Jun.05,2011 1.0+ F' `) _% r  D
8 */
' k  c% r6 O) a; H$ [  H1 P0 z 9   A7 Y! Q, f  @; B5 P$ u- t7 A
10 module simple_fsm (1 J3 V+ C+ ~6 `- W: i
11   clk,
9 F, V8 K6 X! ~, W* f% I$ z6 U( q12   rst_n,
, f& ^, x7 }! F7 X, z" w13   w_i,4 }* o- _! M, l
14   z_o
- ^5 E3 h/ ^2 n5 P15 );2 e+ d: {: j( u3 p
16 : l& K* Y4 _* \* o/ W
17 input  clk;
: S: K) e7 F- r9 L3 K18 input  rst_n;
& Z/ R6 v( c6 n" x19 input  w_i;
' Y% C" ^+ m8 d0 d# U+ h20 output z_o;$ P$ {* k- |0 Q5 X- K
21
2 d, `+ U2 L3 J. D' H; `) t; _22 parameter IDLE = 2'b00;
, T2 X. d5 g( e) b
23 parameter S0   = 2'b01;3 ]  G' j9 N3 @6 `  u3 h8 t. Z% u
24 - A+ o- K4 a' _* s( S) {. N
25 reg [1:0] curr_state;8 \7 s- s; \. @) y; H0 s
26 reg [1:0] next_state;/ g; U. s: L, l% L/ V3 X  L  G
27 reg z;  s8 J/ l: D3 a  ^  \' t
28 reg z_o;" S! E6 W2 e8 B4 i
29
" ?! X* i/ {) a, ~$ o8 T; }* b30 // state reg1 F. j# f7 j9 M' C9 H& ?
31 always@(posedge clk or negedge rst_n)
7 r: q4 F+ f, z( Y. T; ^32   if (~rst_n) curr_state <= IDLE;6 n+ L- J+ l  N& f) k; P' b
33   else        curr_state <= next_state;# m% o4 @) n( M' |
34     4 {2 }/ l6 y1 G8 S" M
35 // next state logic + output logic   7 n* m0 X+ y' l( y1 Z
36 always@(*)5 N( H1 m1 ^7 U* z
37   case (curr_state); @. T2 F- v/ O/ e; y
38     IDLE    : if (w_i)   {next_state, z} = {S0  , 1'b0};7 D% S0 C# Z7 P8 a# H4 v4 o) R; t  Y
39               else       {next_state, z} = {IDLE, 1'b0};
* R5 E5 N: J/ v6 T' D0 I+ h0 W7 {" e
40     S0      : if (w_i)   {next_state, z} = {S0  , 1'b1};- x; W6 p, ~& k! e
41               else       {next_state, z} = {IDLE, 1'b0};
+ q- Y0 T9 [0 c
42     default :            {next_state, z} = {IDLE, 1'b0};
& Q2 X' U4 l( X8 M$ B( A1 P
43   endcase0 m" y2 }7 H- K; O2 N# a+ d
44
9 W& C. ~+ }/ N& P$ [45 // mealy output to delay 1 clk for moore  0 ?$ F7 ]7 K& e% q6 q2 A" z- o* v
46 always@(posedge clk or negedge rst_n)
2 k3 a' x4 W$ N$ i, o# S+ ^47   if (~rst_n) z_o <= 1'b0;
- F4 z8 r! t5 r+ c
48   else        z_o <= z;
  ~. A- V* B$ Y8 S49 - c9 y3 d1 Q4 e4 t- ?% u0 C
50 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]& @0 P/ y0 M' e6 u( X

6 ~( K& M! o9 w6 c1 E( y

30行

// state reg
: R( b% U; \' S3 z3 {: `: D$ z
always@(posedge clk or negedge rst_n)
& y8 G4 h6 Z# A. Z( I& G  if (~rst_n) curr_state <= IDLE;
1 _5 [& G: y) C% ?  E9 b  else        curr_state <= next_state;

; K; b/ @' U+ y7 [0 z- C6 N! m" ~' D1 U

使用1個always描述state register。

35行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
9 X; J0 v8 W0 t/ y: F// next state logic + output logic   
" O$ n. Q  \6 v- u- k4 g: {/ B
always@(*)
8 H' c, R1 A( i% E  case (curr_state)# j0 u/ F) j* K4 E6 v0 E8 \* U
    IDLE    : if (w_i)   {next_state, z} = {S0  , 1'b0};
$ k+ D7 S! ~9 A
              else       {next_state, z} = {IDLE, 1'b0};! u2 b; C; `6 ?  F
    S0      : if (w_i)   {next_state, z} = {S0  , 1'b1};
* w$ Q/ e# s) T' \6 p4 D- P% D4 H
              else       {next_state, z} = {IDLE, 1'b0};' i3 ^3 H% J1 y$ Z" \5 X
    default :            {next_state, z} = {IDLE, 1'b0};
$ k5 }$ I# D1 w
  endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]) v+ w3 ]% v2 x, T( Z0 g
$ ~( f% t# `2 ]0 W# e

使用1個always同時描述next state logic與output logic,因為兩者都是純粹的組合邏輯,所以使用blocking。

45行

// mealy output to delay 1 clk for moore  3 z$ r9 K5 `4 d) x; k
always@(posedge clk or negedge rst_n)0 c1 C  f. _6 I  E0 F0 k
  if (~rst_n) z_o <= 1'b0;
8 b$ ^& x$ J1 k8 x5 e
  else        z_o <= z;

2 Z5 g3 W- b% t/ @' e9 ~$ U

之前提到使用Mealy FSM會少Moore FSM 1個state,且output會早Moore FSM 1個clk,所以最後特別將output在敲一級delay 1個clk,這樣Mealy FSM就會完全與Moore FSM一樣。

使用2個always (next state logic與output logic合一)寫法的缺點:

將next state logic與output logic合一只會增加code的複雜度,日後維護會更加困難8 J( a. v& s  J0 H' S, M

不推薦2個always (next state logic與output logic合一)寫法。

3.使用1個always (一段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201106/201106070654206414.gif

理論上存在使用1個always同時描述next state logic、state register與output logic,但實際上做不到,理由與2個always (state register與output logic合一)的理由一樣,1個always必須使用nonblocking描述,而Mealy FSM的output logic是目前state與input的組合邏輯,我們無法提前1個clk去判斷input,所以無法使用1個always去描述。

1個always 無法描述Mealy FSM。

只要output logic使用nonblocking去描述,就無法實現Mealy FSM output。) z, o, p: r. C% |, v0 r

實務上推薦的coding style

如之前所述,實務上為了timing更好,常在Moore FSM的output logic再多敲一級,以下為推薦的coding style:

http://images.cnblogs.com/cnblogs_com/oomusou/201107/201107192248215766.gif

1.使用2個always (兩段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201107/201107192137268414.gif

simple_fsm_moore_2_always_0_cs_ns_good_pratical.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
0 B6 @9 C5 {( N) E' {4 R 1 /*
5 N: D2 K* O; ~+ C2 y
2 (C) OOMusou 2011 http://oomusou.cnblogs.com* E& _. s8 R) C. ~+ D
3
0 }8 ~9 {; G8 k0 p6 n' I0 Z
4 Filename    : simple_fsm_moore_2_always_0_cs_ns_good.v" y" A8 i7 K/ w7 U/ K% i
5 Synthesizer : Quartus II 8.1
2 Z% a$ m- n5 ?8 @6 o5 L
6 Description : 2 always block for moore fsm (GOOD)
; d2 K2 {' e* R* r) A0 v
7 Release     : Jun.05,2011 1.04 q: G: e+ x; ~- J* V4 c
8 */
6 i- O8 K. J, |  A 9 2 L2 ]: p. Q8 F8 S& A. D- Y
10 module simple_fsm (
  `& o- w% y; b9 B/ _) |" q11   clk,! o$ q) N* q8 t; G
12   rst_n,
7 W+ Z2 Z- T9 [% s! K" c. u13   w_i,
1 O. x) u6 |* ~! f7 L6 L14   z_o% m7 ?4 j% n' A3 F* `
15 );5 R5 n7 ~: z; i* ~1 k4 A, G7 B
16
6 o7 ]% ^  W2 |$ {8 B) u17 input  clk;
8 F. [" o8 Q% y% q# m18 input  rst_n;
/ P5 V; [! u8 v& [5 e: U6 @19 input  w_i;
1 \$ f6 ^2 f/ `$ C  O' b20 output z_o;, S& C5 e4 |/ U& u! ^
21
2 K. D. v! J" f0 ~7 H4 V22 parameter IDLE = 2'b00;% H2 p4 T( _" I# x
23 parameter S0   = 2'b01;
2 @" B; a/ q. V
24 parameter S1   = 2'b10;
6 f2 [- {, W# R; s
25   m+ D3 z7 {5 C. a8 \' d
26 reg [1:0] curr_state;0 l7 q- `/ h4 l/ F8 z. V: y: N/ F" e
27 reg z_o;
9 y  e3 [# h+ \3 f+ M3 B# Z28
1 \& V+ `8 W# R3 @29 // state reg + next state logic
. l- Q# ]  i9 t# [
30 always@(posedge clk or negedge rst_n)0 t  e; w6 R# T. g
31   if (~rst_n) curr_state <= IDLE;# r& v% i4 Y/ l  i+ I  R
32   else' C2 ]0 C; ^' n* E. P4 l
33     case (curr_state)
; v3 f6 F# I' Q7 y$ s* R34       IDLE    : if (w_i) curr_state <= S0;
! `: l4 A/ K0 P% K  `35                 else     curr_state <= IDLE;( e" `0 b3 X, L1 L# M7 G5 f
36       S0      : if (w_i) curr_state <= S1;# L& C2 T6 a- ~9 \0 ]" F
37                 else     curr_state <= IDLE;( K( d" ^$ ]! @. R7 k- V
38       S1      : if (w_i) curr_state <= S1;# g8 @1 w# q% E  V  c  f
39                 else     curr_state <= IDLE;
% b2 J# |$ j( X9 E8 K6 t0 X40       default :          curr_state <= IDLE;- A) y" O+ @# R/ g
41     endcase
6 a. o+ Y" G/ i1 p; G6 a42     $ j- L9 Q# f: n8 W8 a6 I( B
43 // output logic( ?! K5 Q8 ]: G. a+ \7 ^
44 always@(posedge clk or negedge rst_n)
+ w4 l+ \1 C( X2 C0 x; B+ j. a45   if (~rst_n)
# I- u, p5 }! e/ V( ^4 _1 \( I& |46     z_o <= 1'b0;
2 B/ i4 }8 K2 R  }6 e0 B9 n
47   else; p- v. S7 {  l, _: M" @
48     case (curr_state)' c! t. j1 ~: C. g6 p( U" I2 C
49       IDLE    : z_o <= 1'b0;1 `$ [- X8 ?! p6 e  G- j
50       S0      : z_o <= 1'b0;0 v% W+ r2 A# L2 x' H) }& X: V
51       S1      : z_o <= 1'b1;
. \4 {8 G, ^1 _' v
52       default : z_o <= 1'b0;
7 \& P$ ?- d" O+ x( c
53     endcase
1 A& _$ E5 d- C+ u54   5 w2 b" |8 _) f0 G* y1 V
55 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]  L, @! m% t" [2 p6 |2 Q

# ?& B! u, u0 E9 e

29行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
& J/ r0 M. Y/ q: F% F// state reg + next state logic
& o5 q0 C. v) s: [+ G. R! w* F
always@(posedge clk or negedge rst_n)$ y) ?+ d( ?( I$ O3 D
  if (~rst_n) curr_state <= IDLE;
3 l6 y1 B/ `6 d1 N  else
7 N- H3 O' \0 t  l    case (curr_state)
9 ]4 R& u" K* B4 {3 G6 d      IDLE    : if (w_i) curr_state <= S0;4 [% l: b3 Q! f3 A' ^. h- q5 Y
                else     curr_state <= IDLE;: r% F; x, A/ f* Y- L$ d  I
      S0      : if (w_i) curr_state <= S1;9 V/ S* `5 B3 {( ^! {. r+ H" I8 `3 H
                else     curr_state <= IDLE;* i2 a/ ?( K: _+ a2 b$ {/ N- N
      S1      : if (w_i) curr_state <= S1;
# O4 i, S7 ]6 C& {                else     curr_state <= IDLE;/ l$ |" A+ W% {! q  n# i: \# N
      default :          curr_state <= IDLE;5 S+ Q' {  k. ^; j( M2 I9 P
    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]* V: w  v6 a, \0 h' K; ~

- l5 g) X/ X7 ~1 ~* G7 H

使用2個always,且state register與next state logic合一,只要判斷curr_state即可,不用擔心是否要提早1個clk判斷。

43行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]* J1 U) X5 C8 y
// output logic
4 N. E9 P8 V$ G
always@(posedge clk or negedge rst_n)+ H) v( H2 {4 X$ U
  if (~rst_n), _" }; d4 N6 c, K  k
    z_o <= 1'b0;
3 z2 b: p8 h( W: h
  else
" i: H) {2 h% ^, d( b& m6 m    case (curr_state)! h8 B& ?+ p, s/ N/ }. m+ S
      IDLE    : z_o <= 1'b0;
+ b; k3 Z) M+ m/ [! D2 l
      S0      : z_o <= 1'b0;
% ~) u7 `3 @1 A+ x' [
      S1      : z_o <= 1'b1;1 }- D' g9 r: ~
      default : z_o <= 1'b0;
" I, [( h# D/ z1 L+ o) {
    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]1 q4 N4 g9 N5 R& K0 |( d9 h
6 @3 [% q8 F" Q% s

output logic也敲clk,只要判斷curr_state即可,不用擔心是否要提早1個clk判斷。

2.使用3個always (三段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201107/201107192138251792.gif

simple_fsm_moore_3_always_practical.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url], p) Y' Y  Q" O9 X" T
1 /*
8 [+ S- Y) N! P6 w8 F3 T9 l% {
2 (C) OOMusou 2011 http://oomusou.cnblogs.com0 Z+ e$ L  l1 ]( {
3 5 s; d2 U, y8 K
4 Filename    : simple_fsm_moore_3_always_practical.v0 C% k3 r, _# U  C4 Y4 [
5 Synthesizer : Quartus II 8.1- c0 @  o" \& n/ k& e0 I) k" ?
6 Description : 3 always block for moore fsm (BEST)
5 S% O) h: {' [9 k: v8 Y: y
7 Release     : Jun.05,2011 1.0& }4 i6 a3 N0 I
8 */& W/ K9 G; [  m, \$ w
9
, Q+ T, h0 s0 H8 s, d; E10 module simple_fsm (
8 x5 I& @# J$ `/ \2 P9 ?& h11   clk,6 i& X. z+ C) q2 F
12   rst_n,
+ y* {& s" u# z6 y+ h/ l* P13   w_i,
$ s9 ?1 [, d& l+ ~: l14   z_o$ r* l3 x$ M/ u6 p6 ]3 U) {! g& w3 b
15 );# ]0 H1 O* t+ t; g: r1 E
16 # v1 f+ t( X1 _9 s( w9 D; I
17 input  clk;$ p! M: s" Q. m4 {' }
18 input  rst_n;
4 `; u- I' P8 I" J4 c- _1 T$ {19 input  w_i;& F& Y' ?6 K; U5 g( V
20 output z_o;
6 M2 }% n" C' |! v3 C21
* }" \% k) k, g" x. m" b" s22 parameter IDLE = 2'b00;- U" T' a/ ]1 G2 W7 T/ B
23 parameter S0   = 2'b01;
! X" Q/ |$ \4 _1 w: D$ n. F2 a
24 parameter S1   = 2'b10;
4 z4 `! Y) M' c8 ^3 k3 k
25
% W1 i0 m# H3 x7 J0 l$ f- U! r4 {26 reg [1:0] curr_state;
3 ~2 v8 O/ l3 w! z* T6 Q27 reg [1:0] next_state;8 y8 `. w: k) j) |$ Y" L$ {
28 reg z_o;6 ?7 |; v- j7 o" q
29
: G: z% [) u; g: W30 // state reg  @' e$ ~' u- r# `2 Z+ @$ T
31 always@(posedge clk or negedge rst_n)
7 l9 p. n6 {+ U# r32   if (~rst_n) curr_state <= IDLE;3 G3 ?5 O, u' f! K/ C3 o. O! [
33   else        curr_state <= next_state;
7 u! X- E% Q5 P6 z. y34     . c  Z0 j4 t" y6 Y' \% s4 m
35 // next state logic    3 _. t" l* {% H( r
36 always@(*)
) j! G+ n& a  L3 b5 u37   case (curr_state)
( @3 P0 |+ J" }0 n38     IDLE    : if (w_i) next_state = S0;
" o; t& s7 N9 u9 g39               else     next_state = IDLE;
, P2 o$ C& K* `6 t40     S0      : if (w_i) next_state = S1;! t! ?8 b& H2 S# A7 _; N' K
41               else     next_state = IDLE;  a; Z8 h1 B: \7 s6 ]
42     S1      : if (w_i) next_state = S1;7 U' j+ \" ?' h; u; j( Z
43               else     next_state = IDLE;
+ o; R& a, X$ P, T! X3 u44     default :          next_state = IDLE;# T( B+ Z$ T% q! U. T4 m" A; J! `
45   endcase    0 `' b- P; `9 V* s: [
46 4 S6 J# m6 k, s% V3 H* [  D1 M
47 // output logic
8 c6 X4 [# j6 O* W# l, s! N
48 always@(posedge clk or negedge rst_n)/ }: k0 Y/ @/ I6 b
49   if (~rst_n) z_o <= 1'b0;. _  M. Y' M9 R! ~: D8 b3 I7 J
50   else
; v$ E! @; G* `* q: M# x51     case (curr_state)
9 X; T& v! m+ l5 D5 K8 n52       IDLE    : z_o <= 1'b0;7 e4 d" `. m! i' Y* z- u9 ^( [
53       S0      : z_o <= 1'b0;2 {1 Z9 d# w- [/ x: N( B
54       S1      : z_o <= 1'b1;) C+ N, h$ M! f. V. s/ P" v2 C
55       default : z_o <= 1'b0;
3 {' l3 [9 N2 {7 a3 K
56     endcase
% c+ `! ]0 v2 |9 X/ n57   / ]9 q  l6 r# w4 R$ r/ [
58 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]
5 L8 e4 _0 b0 M, U: [4 g8 {
4 |9 d" i! \4 n5 C+ {$ S

30行

// state reg' X, X- B; \( e1 b" X; l' ?
always@(posedge clk or negedge rst_n)
, U9 _, w/ E; B1 B" N+ r# u/ j  if (~rst_n) curr_state <= IDLE;
2 X7 t3 m: I& r( w  else        curr_state <= next_state;

9 P5 |( ~* \1 U1 N7 @6 R

使用1個always描述state register。

35行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]9 ~0 _6 D$ T5 W! U! `5 h% R# E; P
// next state logic    9 z1 ?" j4 c) N5 p
always@(*)
3 p  n# [. l4 s7 R  case (curr_state)4 z: W0 J+ `' F& Q2 d* {# C+ G
    IDLE    : if (w_i) next_state = S0;- p6 |1 i" A# S8 d/ P" D
              else     next_state = IDLE;* k! ?" B. b8 H4 A& D! M, ~& U
    S0      : if (w_i) next_state = S1;2 V2 ]) x, z$ R2 I
              else     next_state = IDLE;/ h+ H# Y0 l% \
    S1      : if (w_i) next_state = S1;
5 c4 L' X8 p" |6 {              else     next_state = IDLE;
8 _7 ~; G) C- P* k- ]/ c    default :          next_state = IDLE;& v; s! g& R/ L( Z% \& D
  endcase  [url=]http://common.cnblogs.com/images/copycode.gif[/url]
1 M$ b% x+ A( s
9 R$ N: s: w7 G( b& g# b9 }

使用1個always描述next state logic,為純粹組合邏輯,所以使用blocking。

47行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
( c( Z7 }6 y& I/ ^// output logic
. x; T# O& [6 _: Q
always@(posedge clk or negedge rst_n)
/ F6 ?/ p3 E. x7 t/ p  if (~rst_n) z_o <= 1'b0;
+ }1 i( o8 f6 u& q5 a% f1 @
  else
2 m" q( |' x; f    case (curr_state)! Q0 L  P- o* _9 ~
      IDLE    : z_o <= 1'b0;# k2 E1 j4 {( A" K+ Z# e: k3 I
      S0      : z_o <= 1'b0;4 R1 a6 F" r. Q" y$ K. }
      S1      : z_o <= 1'b1;+ w9 U2 e: Q( G+ p2 c) J' E- e
      default : z_o <= 1'b0;1 \0 g( v0 r% K% O
    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]
% N# @" s* e7 m3 Y9 r: H( ?& }4 ~9 r
- s, }- J* p$ U# H) e3 e

使用1個always描述output logic,因為output logic也敲clk,只要判斷curr_state即可,不用擔心是否要提早1個clk判斷。

看到這裡,或許你會問:『為了timing好,多敲一級會多delay一個clk,若我output logic提前1個clk用next_state判斷,不就既可有較好的timing,也不會多delay一個clk?』

http://images.cnblogs.com/cnblogs_com/oomusou/201107/20110719225555853.gif

1.使用3個always (三段式)

http://images.cnblogs.com/cnblogs_com/oomusou/201107/201107192310123304.gif

simple_fsm_moore_3_always_practical2.v / Verilog

[url=]http://common.cnblogs.com/images/copycode.gif[/url]
1 {9 F  Z! G1 M 1 /* 5 f: U/ G+ R2 S' T) Q2 P
2 (C) OOMusou 2011 http://oomusou.cnblogs.com
% b& q8 a+ \8 g% s6 v
3
! u6 f2 G3 W3 P5 f1 u5 v) \
4 Filename    : simple_fsm_moore_3_always_practical2.v
9 ^$ P4 Z& d9 \- S9 E, E
5 Synthesizer : Quartus II 8.1
- q/ [; w, d% q2 M7 z
6 Description : 3 always block for moore fsm (BEST)8 n" q9 T% {# A, k6 R. i
7 Release     : Jun.05,2011 1.0
3 o/ ^1 p& C1 R. z
8 */
2 H2 M: B6 k' G 9
2 e4 n7 l6 K' t# ?( t1 T+ g0 O  p10 module simple_fsm (: e9 S) g7 {7 e6 f. |. j
11   clk,
& H; R: t5 ]' l- U3 r12   rst_n,( O: n3 g0 u4 R/ M
13   w_i,/ c+ U' q& [" {% w  u
14   z_o
/ F: `: e3 x/ D+ z- a4 z3 W15 );
3 `) G3 q9 U0 Y6 y; C16 , Z# P2 c; g) F. w$ N* }9 w& T
17 input  clk;1 i$ V+ `1 P. ?1 d" g- U: {
18 input  rst_n;: _7 \4 ~6 r, V3 I0 k& n2 l
19 input  w_i;* M; N5 M+ B; O5 U$ A
20 output z_o;
+ ?; V  u. {! j8 T21
( a; k% V1 ^- f# q22 parameter IDLE = 2'b00;
% i, o6 e7 z8 _6 D1 i4 X( ?" t( X0 r
23 parameter S0   = 2'b01;
- d, Q5 U9 v6 l  |; ?
24 parameter S1   = 2'b10;
3 U: w0 v- z* H, T- B: x
25
  m8 k/ Q1 O4 m7 }) F6 V26 reg [1:0] curr_state;
* Q( K( k# h+ K& |6 I27 reg [1:0] next_state;0 d, Y; q" j: v3 k+ t# B7 j
28 reg z_o;/ d' m% t! d6 g' F& `8 q
29   l9 o( \2 o  ~
30 // state reg
4 M3 ]- l0 @1 Z! S  R
31 always@(posedge clk or negedge rst_n)
1 w3 \: h0 w" R) O32   if (~rst_n) curr_state <= IDLE;3 k3 E6 n1 [2 H7 }2 z# `2 ?
33   else        curr_state <= next_state;' v8 f- _( F& ?/ p$ S; m4 Y
34     
; o( K' `$ M: i35 // next state logic   
- C9 @+ @/ R. G; `- [, L, M3 h
36 always@(*)
" m6 y; u9 d) n6 U37   case (curr_state)9 n* V9 B! o& J. y6 f" \. Q* M
38     IDLE    : if (w_i) next_state = S0;
. m7 L  j  Y- e& v2 J& j3 E7 E39               else     next_state = IDLE;
0 V0 [. x5 }+ m7 m40     S0      : if (w_i) next_state = S1;1 Z  c5 B; z2 {% P  q
41               else     next_state = IDLE;# {6 H. U4 G+ P4 d- a% e- b
42     S1      : if (w_i) next_state = S1;8 p( W" G7 w6 N* M4 V4 n4 c6 ]
43               else     next_state = IDLE;
& b. V5 z1 ^# l* ^! C8 y" s; N44     default :          next_state = IDLE;
  |4 w6 W6 W! J  R' [45   endcase    , u8 a  O' R" r. }' u+ K
46
0 B, M- u) p9 v* v& Y9 X9 J* A47 // output logic
# A( X: e' a) t
48 always@(posedge clk or negedge rst_n)
7 F+ g% t. Y4 _3 O( f( b49   if (~rst_n) z_o <= 1'b0;
/ }7 \2 Y" c& d: `# Y6 H
50   else ! l  A# ^4 A' K! m6 I* U7 |
51     case (next_state)9 e! J# H% E  C! v2 o6 v
52       IDLE    : z_o <= 1'b0;6 v0 V3 u+ Y( z1 x/ m1 [
53       S0      : z_o <= 1'b0;- f+ u# a4 a9 Y8 @1 A6 m) l1 V
54       S1      : z_o <= 1'b1;4 x- k1 S5 h( Z6 u. E3 Y
55       default : z_o <= 1'b0;
: P! D& c; N# I9 l, B! ?2 ^# Y. C+ X" E
56     endcase; Q% _, v" h% ]) q6 M' ~
57   3 b: K9 e, P. `3 Q1 l1 \( {0 K. w4 ^
58 endmodule[url=]http://common.cnblogs.com/images/copycode.gif[/url]
3 f: b* T+ b+ L7 L
* d8 c! @1 X$ ^

47行

[url=]http://common.cnblogs.com/images/copycode.gif[/url]# ~( Y5 e" L6 `/ m: l8 B
// output logic/ ~+ P; f2 q% Q. n
always@(posedge clk or negedge rst_n)8 z, p7 O  h2 O* L1 d% j, z' H6 i
  if (~rst_n) z_o <= 1'b0;7 B: N7 Y; B) m7 {1 G. R) w  |
  else
3 ~' @; j2 J* i3 k+ C) u    case (next_state)# j0 v! Q; a0 L1 h
      IDLE    : z_o <= 1'b0;- ]& {: \' C& E, n# w( c3 A
      S0      : z_o <= 1'b0;
  Y# e4 c$ n4 o
      S1      : z_o <= 1'b1;
; Q7 W& n. J6 Y( d
      default : z_o <= 1'b0;
4 Y! Y( z" ]5 W! i
    endcase[url=]http://common.cnblogs.com/images/copycode.gif[/url]) g3 M6 S3 C8 N, T9 Y, P

- m1 }1 Y& L7 T# U8 i# I5 E

使用1個always去描述output logic,重點是,使用next_state去判斷,因此可以提早一個clk,這樣無論是在Simulator或者經過Synthesizer合成後的結果都會一樣,而且既可在output敲過D flip-flop,也不會多delay一個clk。

不過這種寫法也不是沒有缺點,由於next_state本身是一個純粹的組合邏輯,拿來當output logic的判斷,timing會稍微差一點,很可能critical path就出現在這裡,前一個例子的output logic用的是curr_state,是一個D flip-flop,沒有組合邏輯,所以timing比較好。

另外一個缺點是output logic必須判斷next_state,很容易出錯,觀念必須非常清楚。

& i8 h: f6 U4 f! P; g5 ~
 楼主| 发表于 2013-9-7 09:26 | 显示全部楼层
原文在这里
  _( {: i6 A' H$ c* H6 q! D9 rhttp://www.cnblogs.com/oomusou/a ... m_coding_style.html
回复

使用道具 举报

发表于 2013-9-7 09:42 | 显示全部楼层
是不是就是我们常用的有限状态机而已。' ]$ |  ?/ U: _1 o! v
有“状态”“输入”“输出”这几个要素?* ]  x% ^5 E; X. i" I( X
什么是一段二段三段啊?
( c2 `/ Z9 V$ M( U$ q虽然我本身也是说粤语的,但是这些香港(台湾)的表达方式真的不大适应。
回复

使用道具 举报

发表于 2013-9-7 10:39 | 显示全部楼层
其实就是根据信号做任务
0 |; Q5 x5 {8 w; U% O话说很少见讨论Verilog的帖子
回复

使用道具 举报

 楼主| 发表于 2013-9-7 16:13 | 显示全部楼层
跟粤语没关系的的确是为一段二段三段状态机。' ]6 K& K' R& r% m+ E% m
也就是说一段由一个always 构成 二段就是两上always 三个就是3个always 哦。
) n' V1 t! v! O: @" M一个问题把它拆分越细综合越好,一般由二段和三段为多也有更多的,这个要看需要了。: w$ q- b1 k' z6 y& `
但CPLD和FPGA由综合器综合后三个不同的段是完全不同的结果时序和毛刺是否能达标,以后修改和移植是否容易等等都有好大的关系的。
回复

使用道具 举报

 楼主| 发表于 2013-9-7 16:17 | 显示全部楼层
之前帮人开发的第一代产品中用一段式维护好难老是有一些小问题,可以用但用起来不爽。( [( ]& N1 z) t& I
现在要改一下编法最起码从思维上改变一下。
回复

使用道具 举报

本版积分规则

QQ|一淘宝店|手机版|商店|一乐电子 ( 粤ICP备09076165号 ) 公安备案粤公网安备 44522102000183号

GMT+8, 2025-10-28 23:25 , Processed in 0.045847 second(s), 23 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2025 Discuz! Team.

快速回复 返回顶部 返回列表