版主
  
主题
帖子
积分10609
阅读权限200
注册时间2008-11-22
最后登录1970-1-1
在线时间 小时
|

楼主 |
发表于 2009-11-27 14:42
|
显示全部楼层
异步FIFO结构8 [4 d. G O1 S
( F# i; n( D ^4 F" U设计一个FIFO是ASIC设计者遇到的最普遍的问题之一。本文着重介绍怎样设计FIFO——这是一个看似简单却很复杂的任务。+ ` M% ~$ h# y
一开始,要注意,FIFO通常用于时钟域的过渡,是双时钟设计。换句话说,设计工程要处理(work off)两个时钟,因此在大多数情况下,FIFO工作于独立的两个时钟之间。然而,我们不从这样的结构开始介绍—我们将从工作在单时钟的一个FIFO特例开始。虽然工作在同一时钟的FIFO在实际应用中很少用到,但它为更多的复杂设计搭建一个平台,这是非常有用的。然后再从特例推广到更为普通的FIFO,该系列文章包括以下内容:
6 H* p( C+ t8 l" t1.单时钟结构# N' ]0 p/ H2 R+ E
2.双时钟结构——双钟结构1# _2 D! O% G: O# ~
3.双时钟结构——双钟结构2
2 h" x, g ]( p9 u4.双时钟结构——双钟结构31 a6 h6 L! h0 z4 f' g3 w
5.脉冲模式FIFO
' U9 I% A7 F- g7 Y g6 A8 [单时钟FIFO特例9 I8 B! b3 i- E' h ^+ A( P4 V
FIFO有很多种结构,包括波浪型(ripple)FIFO,移位寄存器型以及其他一些我们并不关心的结构类型。我们将集中讨论包含RAM存储器的结构类型。其结构如图1所示。
+ v; l7 U) x6 A% X, qhttp://www.dzkf.cn/upimg/userup/0902/260S2296040.jpg
0 ]2 i* ]: R7 D; i! Q 通过分析,我们看到图中有一个具有独立的读端口和独立的写端口的RAM存储器。这样选择是为了分析方便。如果是一个单端口的存储器,还应包含一个仲裁器保证同一时刻只能进行一项操作(读或写 ),我们选择双口RAM(无需真正的双口RAM,因为我们只是希望有一个简单的相互独立的读写端口)是因为这些实例非常接近实际情况。
8 L% ]3 ], s$ C 读、写端口拥有又两个计数器产生的宽度为log2(array_size)的互相独立的读、写地址。数据宽度是一个非常重要的参数将在在稍后的结构选择时予以介绍,而现在我们不必过分的关心它。为了一致,我们称这些计数器为“读指针”(read pointer)和“写指针”(write pointer)。写指针指向下一个将要写入的位置,读指针指向下一个将要读取的位置。每次写操作使写指针加1,读操作使读指针加1。) O9 l) a: V; r+ I! B
我们看到最下面的模块为“状态”(stauts) 模块。这个模块的任务实给FIFO提供“空”(empty)和“满”(full)信号。这些信号告诉外部电路FIFO已经达到了临界条件:如果出现“满”信号,那么FIFO为写操作的临界状态,如果出现“空”信号,则FIFO为读操作的临界状态。写操作的临界状态(“full is active”)表示FIFO已经没有空间来存储更多的数据,读操作的临界表示FIFO没有更多: U/ h8 h5 A3 H+ F
的数据可以读出。status模块还可告诉FIFO中“满”或“空”位置的数值。这是由指针的算术运算来完成了。
) l8 P3 O# y, y e3 W0 U, m 实际的“满”或“空”位置计算并不是为FIFO自身提供的。它是作为一个报告机构给外部电路用的。但是,“满”和“空”信号在FIFO中却扮演着非常重要的角色,它为了能实现读与写操作各自的独立运行而阻塞性的管理数据的存取。这种阻塞性管理的重要性不是将数据复写(或重读),而是指针位置可以控制整个FIFO,并且使读、写操作改变着指针数值。如果我们不阻止指针在临界状态下改变状态,FIFO还能都一边“吃”着数据一边“产生”数据,这简直是不可能的。1 A% Z+ b+ H k) r
进一步分析:DPRAM若能够寄存读出的信号,这意味着存储器的输出数据已被寄存。如果这样的话,读指针将不得不设计成“read 并加1 ”,也就是说在FIFO输出数据有效之前,必须提供一个明确的读信号。另一方面,如果DPRAM没有寄存输出,一旦写入有效数据就可以读出;先读数据,然后使指针加1。这将影响到从FIFO读出数据和实现空/满计算的逻辑。由于简化的缘故,我们仅论述DPRAM没有提供索锁存输出的情况。同理,将其推广到寄存输出的DPRAM并不是很复杂。; z0 q6 Y3 z/ P1 W* z' G6 {
从功能上看,FIFO工作原理如下所述:复位时,读、写指针均为0。这是FIFO的空状态,空标志为高电平,(我们用高电平表示空标志)此时满标志为低电平。当FIFO出现空标志时,不允许读操作,只能允许写操作。写操作写入到位置0,并使写指针加1。此时,空标志变为低电平。假设没有发生读操作而且随后的一段时间FIFO中只有写操作。一定时间后,写指针的值等于array_size-1。这就意味着在存储器中,要写入数据的最后一个位置就是下一个位置。在这种情况下,写操作将写指针变为0,并将输出满标志。& q6 | l- E1 I. i3 A7 U
注意,在这种情况下,写指针和读指针是相等的,但是FIFO已满,而不是空。这意味着“满”或“空”的决定并不是仅仅基于指针的值,而是基于引起指针值相等的操作。如果指针值相等的原因是复位或者读操作,FIFO认为是空;如果原因是写操作,那么FIFO认为是满。
/ d7 Y& h6 ^5 q1 L+ y6 v5 X 现在,假设我们开始一系列的读操作,每次读操作都将增加读指针的值,直到读指针的位置等于array_size-1。在该点,从这个位置读出的FIFO输出总线上的数据是有效的。随后的逻辑读取这些数据并提供一个读信号 (在一个时钟周期内有效)。这将导致读指针再次等于写指针(在两个指针走完存储器一圈后)。然而,由于这次相等是由于一个读操作,将会输出空标志。
4 a3 b6 n p) n: a' j* k. Y- ? 因此,我们将得到如下的空标志:写操作无条件的清除空标志。
* p" p9 g7 n5 }' O7 cRead pointer=(array_size-1) , 读操作置空标志。
" K7 }+ B' }6 n q7 }* b% G 以及如下的满标志:读操作无条件的清除满标志,& [4 w2 J2 D- m' ^
Write pointer= (array_size-1), 写操作置满标志。% ?8 h1 `5 l9 [& r, @6 F9 M- R
然而,这是一个特殊的例子,由于一般情况下,读操作在FIFO不是空的情况下就开始了(读操作逻辑不需要等待FIFO变满),因此这些条件不得不修改来存储读指针和写指针的每一个值。
3 G# u9 B$ R$ t% C 有这样一个想法,那就是我们可以将存储器组织成一个环形列表。因此,如果写指针与读指针差值大于1或更多,就进行读操作,FIFO为空,这种工作方式对于用无符号(n-bit)结构来描述的临界状态非常适合。同样的,如果读指针与写指针的差值大于1,就进行写操作,直到FIFO为满。
: j8 q8 L% q0 T/ |5 e/ B1 i 这将带来如下的条件:
. t- {0 k6 o8 J; i1 x4 e5 K0 z% M# A, B; v 写操作无条件的清除空标志。
! |( L% M. p* n' Uwrite_pointer=(read_pointer+1),读操作置空。
- \. L0 V9 @1 D$ e4 j# z, F0 c 读操作无条件的清除满标志,0 S$ ?+ H4 p2 [4 ]; A7 H$ Q6 h# |
read_pointer= (write_pointer+1),写操作置满。
" r$ V$ M. D0 t 注意,读操作和写操作同时都在使其指针增加,但不改变空标志和满标志的状态。在空或满的临界状态同时读操作和写操作都是不允许的。
8 J, D! w; u+ G 综上所述,我们现在能够定义FIFO的status模块,这里提供了用VHDL编写的代码,由于是同步的,很容易转换成Verilog HDL代码。
2 I# C' o5 w. R# slibrary IEEE, STD;
% B2 f1 ~" _! x. X2 T/ Juse IEEE.std_logic_1164.all;
* c9 o) C3 g4 ~; X* fuse IEEE.std_logic_arith.all;& Z$ p2 k+ i, U$ R( ^# W' V) B
use IEEE.std_logic_unsigned.all;
+ E; U; }% m8 O7 Eentity status is
1 G4 }, w) X% x! ?" [6 [port (reset : in std_logic;
3 e3 l0 M* K, J) c* A( Z. s3 aclk : in std_logic;1 ^1 P; B3 {; ~
fifo_wr : in std_logic;
- |% B( ?1 I4 |! v; zfifo_rd : in std_logic;
E: c0 i+ Y0 b7 b- yvalid_rd : out std_logic;& Y+ P- l: k! F
valid_wr : out std_logic;
! t4 l, a$ q) ? A7 Erd_ptr : out std_logic_vector(4 downto 0);, W v. P: _/ N, I% K
wr_ptr : out std_logic_vector(4 downto 0);2 ^( c/ f5 t7 v+ M! u0 T6 G3 Q
empty : out std_logic;. y- e0 F! C# G$ a* ]* T( O1 @
full : out std_logic. _4 T r. U" u% e" y9 O7 ^
);; Q# T3 f( W4 I" T+ _ }3 V
end status;& [% o" s ^0 D% |
architecture status_A of status is1 ^% y- h3 ~0 g
signal rd_ptr_s : std_logic_vector(4 downto 0);9 Z' B: s$ i9 J: a) L
signal wr_ptr_s : std_logic_vector(4 downto 0);5 ]& p: u+ H0 F9 p
signal valid_rd_s : std_logic;* [/ j k) w: J& M1 p' z1 a
signal valid_wr_s : std_logic;
2 U3 g! A+ x# C. p& v5 l( qbegin
% I* }7 H+ u+ e" ^5 Z$ [empty_P : process(clk, reset)
, Q q, J7 a \( u- E( ~1 k3 Gbegin
4 O& }5 K! P; K: Wif (reset = '1') then
5 E- {3 [& Y1 M; pempty <= '1';
& G" t# h1 I5 _elsif (clk'event and clk = '1') then
8 N# R6 A" n5 t% Q& P) o# v# V# Uif (fifo_wr = '1' and fifo_rd = '1') then
; o! y9 l1 M' R( B-- do nothing" \2 J1 u0 `: J$ p4 Z
null;- l1 r% v" q; z" n7 e( [2 o
elsif (fifo_wr = '1') then5 i4 `' Z$ [0 ~; x
-- write unconditionally clears empty
" Y( G5 c9 |: j9 }# k0 Qempty <= '0';( ?4 a6 g6 Z* ~$ N$ I; e1 n
elsif (fifo_rd = '1' and (wr_ptr_s = rd_ptr_s + '1')) then6 T. y d- m7 E# @
-- set empty( E M8 \0 ~# U& I0 _& a
empty <= '1';# [" u1 n2 @. T1 C
end if;
" j3 ^9 d- M1 z) cend if;
* N% L2 m; P& r& q) mend process;) ^) y. u/ K: V( p, X* Z: N# N
full_P : process(clk, reset)
$ m( Z5 z3 d, p- f! x- vbegin; `5 p0 e! J: R
if (reset = '1') then
) B) S0 c( C% Vfull <= '0';
) \( o" k2 }0 O3 Relsif (clk'event and clk = '1') then4 O$ _1 A) e$ s. r2 p8 p. y
if (fifo_rd = '1' and fifo_wr = '1') then7 z0 o3 z3 ]: M( P) o
-- do nothing
* ~& l) F7 d, Y2 D1 b- L2 p& Bnull;
9 |% r5 D7 r- w9 {3 c. `7 V4 celsif (fifo_rd = '1') then) \1 x; Q2 I0 D. N1 W) N, W( s0 U
-- read unconditionally clears full6 U$ O/ c( n, b9 Q
full <= '0';
, L9 U! h4 X9 E/ k* ~$ kelsif (fifo_wr = '1' and (rd_ptr_s = wr_ptr_s + '1')) then3 F m* F0 ]# V# Q. c! V0 o7 @' ] @
-- set full5 g2 K5 |' t( r+ g1 H* f! S4 s# N
full <= '1';
. V, y1 X9 n, E( Qend if;& ~1 ?1 x; u6 C
end if;
( C: U7 g* p5 v# I! [+ Aend process;
) @/ t1 F8 @- G4 C' ?valid_rd_s <= '1' when (empty = '0' and fifo_rd = '1');- L) m+ D4 Q R( n! Z; i5 l0 F
valid_wr_s <= '1' when (full = '0' and fifo_wr = '1');& V( ~* R2 d9 D- k; ~
wr_ptr_s_P : process(clk, reset)
: O$ h! Q0 H8 |! s6 |8 _, C+ Fbegin
; O+ A& a% r( |) q# m% Y& }if (reset = '1') then
+ b4 m5 Y! \. N9 Kwr_ptr_s_P <= (others => '0');' K4 z9 P& h( k. B; k$ [6 @
elsif (clk'event and clk = '1') then* Y+ `+ g4 d2 N! B' c
if (valid_wr_s = '1') then
0 n- K/ R) {0 e9 R- f. M9 zwr_ptr_s <= wr_ptr_s + '1';
6 g3 u& Z7 K0 ]1 ]0 Vend if;
4 q* f: m, T4 F3 r0 L! Bend if;8 D+ h; F9 d! z" U: d2 M$ n* V/ Y
end process;
3 M' |9 d& P/ _: F$ R& L& qrd_ptr_s_P : process(clk, reset)6 p/ p6 l! p7 l" S* q2 q+ u
begin2 [$ E& `4 }3 H' `$ Y. n% B7 `
if (reset = '1') then
/ Y+ ]1 ^1 F* z9 Ird_ptr_s_P <= (others => '0');
v. e5 B' Z) u8 k% Lelsif (clk'event and clk = '1') then
, j- C6 J6 z5 `8 D* k6 g" n; B' ?. sif (valid_rd_s = '1') then
+ w! M+ y) M( s1 srd_ptr_s <= rd_ptr_s + '1';5 L/ Z B) ]$ F
end if;, t- l. |, a1 v& f1 f
end if;
5 G1 ]" E' D9 X: I5 J1 c# ^9 Zend process;+ d U) t; B/ g* v0 M4 J+ b
rd_ptr <= rd_ptr_s;
1 {1 c. a9 `$ Gwr_ptr <= wr_ptr_s;
1 |: E, v; q$ ?0 U) E- |! yend status_A;
- h2 k& p# _! H' G4 i) [ 电路图如图2所示:
3 b1 s0 |' b" z0 P; Y. a, Uhttp://www.dzkf.cn/upimg/userup/0902/260S4193118.jpg
$ v. P1 o$ L; }2 b: l 细心的读者会注意到图2中产生满或空标志需要同时用到两个指针。在双时钟设计的情况下,希望用读指针处理(work off) 读时钟,写指针处理(work off) 写时钟。这会引起不希望发生的毛刺问题——自己可以去试一试,看一看。这些问题以及一些解决方案将在后续的该系列文章中提及。2 I! _1 \# A6 [, R- k/ b
PS: 文章中三次提到 work off clock,分别在开头和结尾处,work off字面意思是“去除,消除,出售”的意思,可是在FIFO中,不应该是去除的意思,故根据前后文和常识,将其翻译为“处理”,有不对的地方请批评指正!/ j8 S6 ]& F! W2 \( N" Y2 U6 O c
|
|