版主
主题
回帖0
积分10609
阅读权限200
注册时间2008-11-22
最后登录1970-1-1
在线时间 小时
|
楼主 |
发表于 2009-11-27 14:42
|
显示全部楼层
异步FIFO结构, f% ^; ~4 J5 p) I
5 c. ~2 s1 t8 u- X设计一个FIFO是ASIC设计者遇到的最普遍的问题之一。本文着重介绍怎样设计FIFO——这是一个看似简单却很复杂的任务。
2 w) j- _/ _3 \7 x 一开始,要注意,FIFO通常用于时钟域的过渡,是双时钟设计。换句话说,设计工程要处理(work off)两个时钟,因此在大多数情况下,FIFO工作于独立的两个时钟之间。然而,我们不从这样的结构开始介绍—我们将从工作在单时钟的一个FIFO特例开始。虽然工作在同一时钟的FIFO在实际应用中很少用到,但它为更多的复杂设计搭建一个平台,这是非常有用的。然后再从特例推广到更为普通的FIFO,该系列文章包括以下内容:" z5 h7 F. \; k+ v7 g
1.单时钟结构
' w0 n9 P! d" [9 z2.双时钟结构——双钟结构1
. c) w6 l2 [+ I+ u3.双时钟结构——双钟结构2
& g7 F. z- Q+ p; j7 }8 p$ B4.双时钟结构——双钟结构3
) |" Y3 |; T a5 H" W; t7 Q, g. Q5.脉冲模式FIFO, s/ e. `! _* m$ ]+ z4 S& V( v% k* |* P
单时钟FIFO特例7 a! R& Y8 D p h8 t& F
FIFO有很多种结构,包括波浪型(ripple)FIFO,移位寄存器型以及其他一些我们并不关心的结构类型。我们将集中讨论包含RAM存储器的结构类型。其结构如图1所示。3 U2 r% \, ?! Z+ u- ]/ o- n, `& A
http://www.dzkf.cn/upimg/userup/0902/260S2296040.jpg ; g; K2 @4 Q' L3 _ D7 ?4 I
通过分析,我们看到图中有一个具有独立的读端口和独立的写端口的RAM存储器。这样选择是为了分析方便。如果是一个单端口的存储器,还应包含一个仲裁器保证同一时刻只能进行一项操作(读或写 ),我们选择双口RAM(无需真正的双口RAM,因为我们只是希望有一个简单的相互独立的读写端口)是因为这些实例非常接近实际情况。
! t# V; [+ V9 h4 _% | 读、写端口拥有又两个计数器产生的宽度为log2(array_size)的互相独立的读、写地址。数据宽度是一个非常重要的参数将在在稍后的结构选择时予以介绍,而现在我们不必过分的关心它。为了一致,我们称这些计数器为“读指针”(read pointer)和“写指针”(write pointer)。写指针指向下一个将要写入的位置,读指针指向下一个将要读取的位置。每次写操作使写指针加1,读操作使读指针加1。( L0 L p3 a& [/ g+ l
我们看到最下面的模块为“状态”(stauts) 模块。这个模块的任务实给FIFO提供“空”(empty)和“满”(full)信号。这些信号告诉外部电路FIFO已经达到了临界条件:如果出现“满”信号,那么FIFO为写操作的临界状态,如果出现“空”信号,则FIFO为读操作的临界状态。写操作的临界状态(“full is active”)表示FIFO已经没有空间来存储更多的数据,读操作的临界表示FIFO没有更多6 `9 X8 L, P5 X+ p+ [
的数据可以读出。status模块还可告诉FIFO中“满”或“空”位置的数值。这是由指针的算术运算来完成了。
9 x) l. o" I$ {. g* p9 R! ]! Z9 ], ^ 实际的“满”或“空”位置计算并不是为FIFO自身提供的。它是作为一个报告机构给外部电路用的。但是,“满”和“空”信号在FIFO中却扮演着非常重要的角色,它为了能实现读与写操作各自的独立运行而阻塞性的管理数据的存取。这种阻塞性管理的重要性不是将数据复写(或重读),而是指针位置可以控制整个FIFO,并且使读、写操作改变着指针数值。如果我们不阻止指针在临界状态下改变状态,FIFO还能都一边“吃”着数据一边“产生”数据,这简直是不可能的。- m1 ^4 v q* M! [
进一步分析:DPRAM若能够寄存读出的信号,这意味着存储器的输出数据已被寄存。如果这样的话,读指针将不得不设计成“read 并加1 ”,也就是说在FIFO输出数据有效之前,必须提供一个明确的读信号。另一方面,如果DPRAM没有寄存输出,一旦写入有效数据就可以读出;先读数据,然后使指针加1。这将影响到从FIFO读出数据和实现空/满计算的逻辑。由于简化的缘故,我们仅论述DPRAM没有提供索锁存输出的情况。同理,将其推广到寄存输出的DPRAM并不是很复杂。3 w! e# P) ]. R$ R+ |; k7 J2 B
从功能上看,FIFO工作原理如下所述:复位时,读、写指针均为0。这是FIFO的空状态,空标志为高电平,(我们用高电平表示空标志)此时满标志为低电平。当FIFO出现空标志时,不允许读操作,只能允许写操作。写操作写入到位置0,并使写指针加1。此时,空标志变为低电平。假设没有发生读操作而且随后的一段时间FIFO中只有写操作。一定时间后,写指针的值等于array_size-1。这就意味着在存储器中,要写入数据的最后一个位置就是下一个位置。在这种情况下,写操作将写指针变为0,并将输出满标志。. H0 {5 ]" g9 D
注意,在这种情况下,写指针和读指针是相等的,但是FIFO已满,而不是空。这意味着“满”或“空”的决定并不是仅仅基于指针的值,而是基于引起指针值相等的操作。如果指针值相等的原因是复位或者读操作,FIFO认为是空;如果原因是写操作,那么FIFO认为是满。% U1 e3 t+ B5 S& ?+ o1 K6 z
现在,假设我们开始一系列的读操作,每次读操作都将增加读指针的值,直到读指针的位置等于array_size-1。在该点,从这个位置读出的FIFO输出总线上的数据是有效的。随后的逻辑读取这些数据并提供一个读信号 (在一个时钟周期内有效)。这将导致读指针再次等于写指针(在两个指针走完存储器一圈后)。然而,由于这次相等是由于一个读操作,将会输出空标志。+ [6 Q( ^& W, E* w! _+ m
因此,我们将得到如下的空标志:写操作无条件的清除空标志。; z$ ^5 f, E% v6 J* A9 ^
Read pointer=(array_size-1) , 读操作置空标志。
: ?, s$ b) d# u 以及如下的满标志:读操作无条件的清除满标志,$ J9 \/ w; {1 B7 K. q9 b
Write pointer= (array_size-1), 写操作置满标志。2 d+ Q6 c. }" A
然而,这是一个特殊的例子,由于一般情况下,读操作在FIFO不是空的情况下就开始了(读操作逻辑不需要等待FIFO变满),因此这些条件不得不修改来存储读指针和写指针的每一个值。$ u# G: L8 [ [& ?+ ]+ C z
有这样一个想法,那就是我们可以将存储器组织成一个环形列表。因此,如果写指针与读指针差值大于1或更多,就进行读操作,FIFO为空,这种工作方式对于用无符号(n-bit)结构来描述的临界状态非常适合。同样的,如果读指针与写指针的差值大于1,就进行写操作,直到FIFO为满。
9 v2 a9 [2 C5 B, w# K 这将带来如下的条件:3 A4 C% `9 w+ \9 G6 ]4 }, F
写操作无条件的清除空标志。. F) {) L) \% `5 Z, `5 U" w S
write_pointer=(read_pointer+1),读操作置空。
1 T# D5 y* q( L7 {& P! O" h' h 读操作无条件的清除满标志,
3 n0 M8 \# F0 ^* B: d2 Eread_pointer= (write_pointer+1),写操作置满。$ b" H! V. _, h* y H I
注意,读操作和写操作同时都在使其指针增加,但不改变空标志和满标志的状态。在空或满的临界状态同时读操作和写操作都是不允许的。
' X. p' Y$ f* \4 a# _! i+ m 综上所述,我们现在能够定义FIFO的status模块,这里提供了用VHDL编写的代码,由于是同步的,很容易转换成Verilog HDL代码。
1 B6 }" S+ `. N5 jlibrary IEEE, STD;9 q/ o; S5 A2 G- p5 X3 s
use IEEE.std_logic_1164.all;& ~6 t6 @5 D1 V. m& R
use IEEE.std_logic_arith.all;5 W- q# ^# c$ G( n2 |0 N
use IEEE.std_logic_unsigned.all;
( v; M- W+ v& s2 X- ventity status is7 I I- l- [8 k0 |; S- w N
port (reset : in std_logic;
! `1 J" r3 j! [clk : in std_logic;+ o# k5 b9 l# f# ~) A" W# V
fifo_wr : in std_logic;7 g) r0 G- g8 H8 g
fifo_rd : in std_logic;
, k2 C6 V6 ~1 wvalid_rd : out std_logic;
7 q5 d1 @0 L6 O; `7 kvalid_wr : out std_logic;2 R9 V/ D' v. k$ ~
rd_ptr : out std_logic_vector(4 downto 0);
; R1 o; Z7 `6 e* bwr_ptr : out std_logic_vector(4 downto 0);, X# u. l" M* M. |
empty : out std_logic; K# t# X, G, y$ o Q
full : out std_logic
3 W, O u6 t/ r8 Q);! V9 F. R9 }' Z# a" m
end status;+ N3 O+ U0 W; H. n' O6 J- \ D/ _
architecture status_A of status is8 d0 f, l5 Y& g% C4 j1 A, q
signal rd_ptr_s : std_logic_vector(4 downto 0);
' h. b" I! ]9 D& R/ r: p0 gsignal wr_ptr_s : std_logic_vector(4 downto 0);
1 M2 x$ h" B: c* q6 ]signal valid_rd_s : std_logic;/ V4 U( J5 x+ m7 \ y6 Z; m
signal valid_wr_s : std_logic;# S+ d2 U1 D" J- F) x) g
begin
% ], c4 b1 E9 i& vempty_P : process(clk, reset)9 P* X" K: u) Y/ S& V& P9 j9 y
begin
" ^! p4 F/ k7 h4 I- K9 bif (reset = '1') then+ `6 C9 Y6 ~$ W( C& _" @1 H
empty <= '1';
9 u1 a; V9 g' J( o6 b2 @4 R! i9 x/ Delsif (clk'event and clk = '1') then
4 M6 W: {3 Q- cif (fifo_wr = '1' and fifo_rd = '1') then4 h0 F$ x8 J! H, z8 U2 f9 [
-- do nothing
8 A' E1 F1 h; ~8 X8 q* w- L" unull;
# B6 Y( i0 }6 j# G5 R) d( v$ Xelsif (fifo_wr = '1') then
# U" J$ O3 s- N2 v$ h. n& i% o-- write unconditionally clears empty
* p, K# Z4 Y. Z8 z7 }empty <= '0';: b6 ]+ J# H1 k" Z0 D
elsif (fifo_rd = '1' and (wr_ptr_s = rd_ptr_s + '1')) then* w6 J( K. r" p7 u
-- set empty& k1 Z+ n" g4 d
empty <= '1';
: e& M+ N! l2 j1 B U: o$ a% Nend if;* |9 S3 T* [/ i9 [5 o2 j0 Q
end if;
q7 J ^2 C! d8 \$ Z( O# }/ {end process;9 k0 Q+ {& b& L o
full_P : process(clk, reset)
0 E/ C" z6 P5 X" Q. Vbegin/ G) Y# s. F }! f% Y
if (reset = '1') then+ N$ t& b. @1 [/ @0 a+ B9 p
full <= '0';
0 p4 t: c/ d; m+ V! y. [elsif (clk'event and clk = '1') then8 c2 J1 V. ]! I/ B/ p% K. e
if (fifo_rd = '1' and fifo_wr = '1') then8 Q. U* m, |) L" u, `. c
-- do nothing
2 \* U% S2 k3 x1 u, F$ F! D2 Rnull;0 k' l8 E# N! O3 ]
elsif (fifo_rd = '1') then: x5 [6 g% i7 B2 J0 V# h0 L
-- read unconditionally clears full& B3 C& p- n: r) d6 v
full <= '0';
& Y% p" m% |; O _9 ~. N$ @elsif (fifo_wr = '1' and (rd_ptr_s = wr_ptr_s + '1')) then
0 @" y/ h; M# F1 F% t4 a. \-- set full
- o& l+ i- H# Q1 O5 z7 C/ lfull <= '1';0 p7 S- N3 G5 K" H. E
end if;7 I* E7 o% k3 w% A% v$ V
end if;
, S$ T0 ?% Q7 `% ^end process;
# @: j) J# m0 d$ C0 Zvalid_rd_s <= '1' when (empty = '0' and fifo_rd = '1');6 |' m7 J" e! _4 t; p# p
valid_wr_s <= '1' when (full = '0' and fifo_wr = '1');( {9 D. _* d$ {( V' R
wr_ptr_s_P : process(clk, reset)0 O6 ^0 ]" |( Z3 i
begin$ {% m: M! r" _0 x* i9 F. S
if (reset = '1') then8 I+ z* l7 F# p) d; p% f5 I/ Y0 n, b; H
wr_ptr_s_P <= (others => '0');# |1 E3 p" N9 x7 w, ^. m
elsif (clk'event and clk = '1') then7 a( [ u; p+ j4 l" M+ B
if (valid_wr_s = '1') then6 x, O: j3 q: B$ Z7 Z
wr_ptr_s <= wr_ptr_s + '1';
: W! i5 C2 g2 R2 n% _end if;
5 M$ D4 x- V |/ y" Gend if;6 b+ h" O- T2 z9 k+ l9 K+ r
end process;3 ^! q% n9 V2 i" v2 j
rd_ptr_s_P : process(clk, reset)
/ l0 P8 d8 p1 Y- S# Lbegin
: m( J [6 T7 D( n/ aif (reset = '1') then
: H+ q* z6 Z4 g" g0 hrd_ptr_s_P <= (others => '0');
. E J2 t2 v, H4 ]" c5 U' W. qelsif (clk'event and clk = '1') then
, T5 X* V6 a Q9 w- [if (valid_rd_s = '1') then7 _8 Q# o0 ]; S
rd_ptr_s <= rd_ptr_s + '1';: E5 }: ]* A) Q2 E; I7 N- c
end if;
! Q+ o8 ~- {2 J/ L+ dend if;. }6 R' I+ t8 r P2 M; M3 z1 W0 n
end process;
' j% z) d9 q& ?! m4 h$ drd_ptr <= rd_ptr_s;6 |( m' I: d) W% Z2 f
wr_ptr <= wr_ptr_s;
6 J2 c! ~- T3 M$ M Mend status_A;+ y( o/ Y; l# @3 V4 v
电路图如图2所示:$ N4 p4 p M6 S7 }6 U
http://www.dzkf.cn/upimg/userup/0902/260S4193118.jpg ' w9 G8 w k, }( d
细心的读者会注意到图2中产生满或空标志需要同时用到两个指针。在双时钟设计的情况下,希望用读指针处理(work off) 读时钟,写指针处理(work off) 写时钟。这会引起不希望发生的毛刺问题——自己可以去试一试,看一看。这些问题以及一些解决方案将在后续的该系列文章中提及。6 z4 S5 o, l( s" M% Y) t7 v' y
PS: 文章中三次提到 work off clock,分别在开头和结尾处,work off字面意思是“去除,消除,出售”的意思,可是在FIFO中,不应该是去除的意思,故根据前后文和常识,将其翻译为“处理”,有不对的地方请批评指正!, S% p' i0 C+ d
|
|