版主
主题
回帖0
积分10609
阅读权限200
注册时间2008-11-22
最后登录1970-1-1
在线时间 小时
|
楼主 |
发表于 2009-11-27 14:42
|
显示全部楼层
异步FIFO结构' z/ y% s$ `# l* {- _, Y: a9 z \
! o0 I1 L; l: h7 |2 K& v( w+ L
设计一个FIFO是ASIC设计者遇到的最普遍的问题之一。本文着重介绍怎样设计FIFO——这是一个看似简单却很复杂的任务。* o5 X& w" T" q+ H
一开始,要注意,FIFO通常用于时钟域的过渡,是双时钟设计。换句话说,设计工程要处理(work off)两个时钟,因此在大多数情况下,FIFO工作于独立的两个时钟之间。然而,我们不从这样的结构开始介绍—我们将从工作在单时钟的一个FIFO特例开始。虽然工作在同一时钟的FIFO在实际应用中很少用到,但它为更多的复杂设计搭建一个平台,这是非常有用的。然后再从特例推广到更为普通的FIFO,该系列文章包括以下内容:
y- d7 F* h; u' w& t( l4 Y1.单时钟结构. i" ~# F6 b! k: w
2.双时钟结构——双钟结构15 A/ K1 i$ K+ p0 K+ t1 d9 R* }
3.双时钟结构——双钟结构2
& a+ b' N& C" @- ~1 U$ X4.双时钟结构——双钟结构34 w$ |9 h- S; ]% s: _; X- n
5.脉冲模式FIFO
( J# M- H( T: B4 e- L1 X单时钟FIFO特例$ |3 i# x* [/ U. K% Z
FIFO有很多种结构,包括波浪型(ripple)FIFO,移位寄存器型以及其他一些我们并不关心的结构类型。我们将集中讨论包含RAM存储器的结构类型。其结构如图1所示。
' m8 L* \0 ?, A# B4 M% d0 {* z qhttp://www.dzkf.cn/upimg/userup/0902/260S2296040.jpg $ d5 q. i0 a/ T$ j/ G R9 U
通过分析,我们看到图中有一个具有独立的读端口和独立的写端口的RAM存储器。这样选择是为了分析方便。如果是一个单端口的存储器,还应包含一个仲裁器保证同一时刻只能进行一项操作(读或写 ),我们选择双口RAM(无需真正的双口RAM,因为我们只是希望有一个简单的相互独立的读写端口)是因为这些实例非常接近实际情况。$ q) e" H2 q- ~6 B- s3 n' A g
读、写端口拥有又两个计数器产生的宽度为log2(array_size)的互相独立的读、写地址。数据宽度是一个非常重要的参数将在在稍后的结构选择时予以介绍,而现在我们不必过分的关心它。为了一致,我们称这些计数器为“读指针”(read pointer)和“写指针”(write pointer)。写指针指向下一个将要写入的位置,读指针指向下一个将要读取的位置。每次写操作使写指针加1,读操作使读指针加1。/ V" K J" k' l% o6 v$ K+ Z8 c; Z
我们看到最下面的模块为“状态”(stauts) 模块。这个模块的任务实给FIFO提供“空”(empty)和“满”(full)信号。这些信号告诉外部电路FIFO已经达到了临界条件:如果出现“满”信号,那么FIFO为写操作的临界状态,如果出现“空”信号,则FIFO为读操作的临界状态。写操作的临界状态(“full is active”)表示FIFO已经没有空间来存储更多的数据,读操作的临界表示FIFO没有更多
3 ~5 a/ P4 T' f$ p; e9 `- a( e* }6 V的数据可以读出。status模块还可告诉FIFO中“满”或“空”位置的数值。这是由指针的算术运算来完成了。
1 ? G3 }8 b' y* T+ b) S1 ~ 实际的“满”或“空”位置计算并不是为FIFO自身提供的。它是作为一个报告机构给外部电路用的。但是,“满”和“空”信号在FIFO中却扮演着非常重要的角色,它为了能实现读与写操作各自的独立运行而阻塞性的管理数据的存取。这种阻塞性管理的重要性不是将数据复写(或重读),而是指针位置可以控制整个FIFO,并且使读、写操作改变着指针数值。如果我们不阻止指针在临界状态下改变状态,FIFO还能都一边“吃”着数据一边“产生”数据,这简直是不可能的。+ V4 [5 Q- q+ n% m1 ~3 p
进一步分析:DPRAM若能够寄存读出的信号,这意味着存储器的输出数据已被寄存。如果这样的话,读指针将不得不设计成“read 并加1 ”,也就是说在FIFO输出数据有效之前,必须提供一个明确的读信号。另一方面,如果DPRAM没有寄存输出,一旦写入有效数据就可以读出;先读数据,然后使指针加1。这将影响到从FIFO读出数据和实现空/满计算的逻辑。由于简化的缘故,我们仅论述DPRAM没有提供索锁存输出的情况。同理,将其推广到寄存输出的DPRAM并不是很复杂。
; J; b! z& F( E* Y( I3 R 从功能上看,FIFO工作原理如下所述:复位时,读、写指针均为0。这是FIFO的空状态,空标志为高电平,(我们用高电平表示空标志)此时满标志为低电平。当FIFO出现空标志时,不允许读操作,只能允许写操作。写操作写入到位置0,并使写指针加1。此时,空标志变为低电平。假设没有发生读操作而且随后的一段时间FIFO中只有写操作。一定时间后,写指针的值等于array_size-1。这就意味着在存储器中,要写入数据的最后一个位置就是下一个位置。在这种情况下,写操作将写指针变为0,并将输出满标志。: ?+ I( [* O1 f4 j" o0 U7 j
注意,在这种情况下,写指针和读指针是相等的,但是FIFO已满,而不是空。这意味着“满”或“空”的决定并不是仅仅基于指针的值,而是基于引起指针值相等的操作。如果指针值相等的原因是复位或者读操作,FIFO认为是空;如果原因是写操作,那么FIFO认为是满。
, ]" T( O, ~! x' ^* r 现在,假设我们开始一系列的读操作,每次读操作都将增加读指针的值,直到读指针的位置等于array_size-1。在该点,从这个位置读出的FIFO输出总线上的数据是有效的。随后的逻辑读取这些数据并提供一个读信号 (在一个时钟周期内有效)。这将导致读指针再次等于写指针(在两个指针走完存储器一圈后)。然而,由于这次相等是由于一个读操作,将会输出空标志。, ^9 |9 Q1 m- L2 N% i6 s
因此,我们将得到如下的空标志:写操作无条件的清除空标志。3 }- l$ `5 T) p, w+ q1 T2 Z5 R
Read pointer=(array_size-1) , 读操作置空标志。
3 `2 ~3 V& l( @9 ~8 L# v4 b7 ? 以及如下的满标志:读操作无条件的清除满标志,& @! \( h* |- T& U
Write pointer= (array_size-1), 写操作置满标志。
- U0 l* z( t3 G' }) n 然而,这是一个特殊的例子,由于一般情况下,读操作在FIFO不是空的情况下就开始了(读操作逻辑不需要等待FIFO变满),因此这些条件不得不修改来存储读指针和写指针的每一个值。
9 J2 m. V8 c8 ]# v8 S 有这样一个想法,那就是我们可以将存储器组织成一个环形列表。因此,如果写指针与读指针差值大于1或更多,就进行读操作,FIFO为空,这种工作方式对于用无符号(n-bit)结构来描述的临界状态非常适合。同样的,如果读指针与写指针的差值大于1,就进行写操作,直到FIFO为满。7 b& v2 E4 G2 A: X# w
这将带来如下的条件:
' ^3 d* A) A5 \: A 写操作无条件的清除空标志。
$ {7 h% h' b7 {3 j" M$ r; F6 Ywrite_pointer=(read_pointer+1),读操作置空。+ N- `3 A# g( @% `
读操作无条件的清除满标志,
2 C; [% U, q' b rread_pointer= (write_pointer+1),写操作置满。5 P3 _: w7 ~, |/ y. p) `
注意,读操作和写操作同时都在使其指针增加,但不改变空标志和满标志的状态。在空或满的临界状态同时读操作和写操作都是不允许的。
5 M8 s0 Z& b& N2 j# H 综上所述,我们现在能够定义FIFO的status模块,这里提供了用VHDL编写的代码,由于是同步的,很容易转换成Verilog HDL代码。
) i+ B! e5 t& _1 D6 h( p: t" P- Slibrary IEEE, STD;
# F6 Y0 v# \# s: ]5 Muse IEEE.std_logic_1164.all;( s: E) q7 `* D1 I( d
use IEEE.std_logic_arith.all;
* V* X; w/ l$ p4 T/ Uuse IEEE.std_logic_unsigned.all;
( l* D2 s( ^2 `entity status is( b- g& j5 G9 O. {" Q! ?
port (reset : in std_logic;" T+ j I$ [7 b* ^' | L
clk : in std_logic;# E" B$ h& y5 P" N$ h; l" F
fifo_wr : in std_logic;9 F! z. ~% ]& ]$ a* a& i! v
fifo_rd : in std_logic;
5 I% l) s+ d7 M: i; ?5 u$ M* X Nvalid_rd : out std_logic;
. y9 G Z$ o) s' }. S( hvalid_wr : out std_logic;4 m9 d/ Q" q/ G3 ^; w- `
rd_ptr : out std_logic_vector(4 downto 0);/ c B1 i4 a' c0 a( Z* ~+ Y
wr_ptr : out std_logic_vector(4 downto 0);
% f: U4 [2 |. {3 @1 rempty : out std_logic;
' l! p5 j; Z8 x5 c3 g3 l$ Lfull : out std_logic# C/ s7 f. R- [9 N& O+ m* k( C+ l* i/ l
);
: Y1 ]0 H2 e0 t2 Z; u( xend status;
1 z3 D; y- g* s. q [* A" M3 j4 y5 carchitecture status_A of status is( A5 S" V. z8 ?& W# Q' ^
signal rd_ptr_s : std_logic_vector(4 downto 0);* x2 c( y D4 J- J) b
signal wr_ptr_s : std_logic_vector(4 downto 0);
# f8 R' N; @( e% r- M. o) ?, }% `signal valid_rd_s : std_logic;
~1 b5 y% J2 z* zsignal valid_wr_s : std_logic;
0 T# x L: C) k3 K* F& b1 L( `begin
* \. a1 V' l3 w5 y4 d3 Dempty_P : process(clk, reset)
, |- r* J" l& t* Pbegin
# ~. J- J. e/ [! ?: S! O* @: K* G Wif (reset = '1') then
. ]* \+ g, g& D' X9 Pempty <= '1';) G2 g/ h) r' }: \' C
elsif (clk'event and clk = '1') then
- N0 @" A3 J6 l% z/ ^, mif (fifo_wr = '1' and fifo_rd = '1') then
) B* c( Y" I, l7 S/ W$ I-- do nothing; `# \% p8 o# \7 c( ~; z
null;! ?, W, b; y* W0 q8 S+ F! }; p
elsif (fifo_wr = '1') then
! h& Q& S, m" N* s9 v-- write unconditionally clears empty5 i0 i' K) A( L9 X F
empty <= '0';
, r2 P+ b, N# S2 @% m Uelsif (fifo_rd = '1' and (wr_ptr_s = rd_ptr_s + '1')) then
8 K! m, C S- i5 K-- set empty
, @2 { m9 T* Z, _" y0 Aempty <= '1';
( J* f+ f. F) H0 Fend if;/ \& l, a8 q; }- W! R
end if;
1 d9 n e/ ^1 m$ F4 P. Xend process;% y: ]% s5 l: ]4 f
full_P : process(clk, reset)% j; O9 T, z+ x9 x2 R
begin
- R8 y( f9 G- \if (reset = '1') then+ S1 R( V1 g7 Q- q9 P& J/ V
full <= '0';8 P% }+ h; M0 r1 R
elsif (clk'event and clk = '1') then8 l+ V* K$ g# e7 o4 R+ y+ m
if (fifo_rd = '1' and fifo_wr = '1') then
9 z- Q7 X6 i1 r) T1 p" N-- do nothing& i7 c6 d. n8 S6 X ]7 V) `
null;" h1 i' v9 n, h5 u' q$ _
elsif (fifo_rd = '1') then
# a; t/ \. T% H j, s-- read unconditionally clears full
$ i2 R: a9 J9 K2 \3 b7 f: J+ ~7 gfull <= '0';' ~! h1 z' ~3 z( k) P6 \0 O
elsif (fifo_wr = '1' and (rd_ptr_s = wr_ptr_s + '1')) then
6 `6 v9 m" }8 U* K3 Q-- set full1 d- d# D% [/ }
full <= '1';
2 \/ e, L0 r8 q# r7 M V A2 wend if; v$ a9 k, }% h. ^' l- y1 Z
end if;$ a# _ E& |' h0 } r, Z8 z
end process;. W, J7 x. k/ X* d* ]& j# L/ \
valid_rd_s <= '1' when (empty = '0' and fifo_rd = '1');0 H6 m5 F- r# _) H
valid_wr_s <= '1' when (full = '0' and fifo_wr = '1');. |7 J& T( h; s% h L
wr_ptr_s_P : process(clk, reset)# L6 g( \/ @, S" Z& v; A$ u$ z5 p
begin: K0 k" S( r; n x3 @% V; r1 |
if (reset = '1') then
) {8 Y# N& ]6 X8 @. W$ ]% `" ewr_ptr_s_P <= (others => '0');& V) _1 E3 m2 {! A3 g
elsif (clk'event and clk = '1') then
, ^0 s0 r, H8 h0 o2 wif (valid_wr_s = '1') then
9 I' g5 K( _4 \$ G ^" O, owr_ptr_s <= wr_ptr_s + '1';) O( `" Z5 C7 f* p% t1 H
end if;0 W3 L6 t, w& O& E0 ^9 c1 {1 o" m
end if;
e7 x% o' t- T7 H$ Eend process;5 n* [( I, t6 e3 G' ?, a
rd_ptr_s_P : process(clk, reset)7 C/ w# u6 m) R) Y
begin
* t6 }1 f- {$ S$ u/ `2 jif (reset = '1') then
7 \- c! g* Q1 jrd_ptr_s_P <= (others => '0');
% V* J" I- C- |elsif (clk'event and clk = '1') then
2 O8 u& w7 x, M3 [" S3 D3 wif (valid_rd_s = '1') then
( V& z( S8 j M& B/ jrd_ptr_s <= rd_ptr_s + '1';3 M; n4 _5 u+ E1 Z. p$ o5 y% w
end if;
4 |% v' v( _: h6 b' }end if;7 b; X- ~7 ]' G9 ?5 ~- ]5 T6 ?& I3 j1 O
end process;
6 i/ s9 H2 r5 ]$ B, t; z/ W) F) `7 srd_ptr <= rd_ptr_s; X! e- @' E5 K* b, I) n8 y* Q
wr_ptr <= wr_ptr_s;
2 h/ {9 n; R1 }end status_A;( x4 r8 E0 h( G+ z& ?
电路图如图2所示:( T8 `& N( }1 r7 B5 B- F" ?1 X. l
http://www.dzkf.cn/upimg/userup/0902/260S4193118.jpg
( [. g3 B: t2 S1 ]% N4 P 细心的读者会注意到图2中产生满或空标志需要同时用到两个指针。在双时钟设计的情况下,希望用读指针处理(work off) 读时钟,写指针处理(work off) 写时钟。这会引起不希望发生的毛刺问题——自己可以去试一试,看一看。这些问题以及一些解决方案将在后续的该系列文章中提及。
* K# o6 ^6 i9 g, g& V4 yPS: 文章中三次提到 work off clock,分别在开头和结尾处,work off字面意思是“去除,消除,出售”的意思,可是在FIFO中,不应该是去除的意思,故根据前后文和常识,将其翻译为“处理”,有不对的地方请批评指正!9 Z* T E3 H3 x) E5 W. _
|
|