版主
![Rank: 7](static/image/common/star_level3.gif) ![Rank: 7](static/image/common/star_level2.gif) ![Rank: 7](static/image/common/star_level1.gif)
主题
帖子
积分10609
阅读权限200
注册时间2008-11-22
最后登录1970-1-1
在线时间 小时
|
![](static/image/common/ico_lz.png)
楼主 |
发表于 2009-11-27 14:42
|
显示全部楼层
异步FIFO结构; y! {* ~! j* K6 ?& L# @
2 _8 p' `5 |4 R# c- j; D( ^# o% e- s
设计一个FIFO是ASIC设计者遇到的最普遍的问题之一。本文着重介绍怎样设计FIFO——这是一个看似简单却很复杂的任务。9 L" z% \! }1 ~, O# D$ Z2 Q
一开始,要注意,FIFO通常用于时钟域的过渡,是双时钟设计。换句话说,设计工程要处理(work off)两个时钟,因此在大多数情况下,FIFO工作于独立的两个时钟之间。然而,我们不从这样的结构开始介绍—我们将从工作在单时钟的一个FIFO特例开始。虽然工作在同一时钟的FIFO在实际应用中很少用到,但它为更多的复杂设计搭建一个平台,这是非常有用的。然后再从特例推广到更为普通的FIFO,该系列文章包括以下内容:
$ K3 S' ?4 x# {1.单时钟结构5 M0 ?5 \7 \9 l* Q S7 c
2.双时钟结构——双钟结构1" [. a& G2 E! f" X/ L( I5 P5 A
3.双时钟结构——双钟结构2
+ Z* M2 m4 L: z8 U4.双时钟结构——双钟结构3" g. V- R; m. I. O
5.脉冲模式FIFO
7 [$ Z4 W) l( y3 d单时钟FIFO特例9 s: | H6 o" u8 R( u9 u& @9 w S
FIFO有很多种结构,包括波浪型(ripple)FIFO,移位寄存器型以及其他一些我们并不关心的结构类型。我们将集中讨论包含RAM存储器的结构类型。其结构如图1所示。% E. D" Q, f4 X& W6 Q/ u
http://www.dzkf.cn/upimg/userup/0902/260S2296040.jpg
& @ ]+ E% m, \( [8 X; }& _$ M 通过分析,我们看到图中有一个具有独立的读端口和独立的写端口的RAM存储器。这样选择是为了分析方便。如果是一个单端口的存储器,还应包含一个仲裁器保证同一时刻只能进行一项操作(读或写 ),我们选择双口RAM(无需真正的双口RAM,因为我们只是希望有一个简单的相互独立的读写端口)是因为这些实例非常接近实际情况。& {% C; |6 y3 V" s5 h) y# q$ f. a
读、写端口拥有又两个计数器产生的宽度为log2(array_size)的互相独立的读、写地址。数据宽度是一个非常重要的参数将在在稍后的结构选择时予以介绍,而现在我们不必过分的关心它。为了一致,我们称这些计数器为“读指针”(read pointer)和“写指针”(write pointer)。写指针指向下一个将要写入的位置,读指针指向下一个将要读取的位置。每次写操作使写指针加1,读操作使读指针加1。
$ s; L/ e- V, R) `" J" j 我们看到最下面的模块为“状态”(stauts) 模块。这个模块的任务实给FIFO提供“空”(empty)和“满”(full)信号。这些信号告诉外部电路FIFO已经达到了临界条件:如果出现“满”信号,那么FIFO为写操作的临界状态,如果出现“空”信号,则FIFO为读操作的临界状态。写操作的临界状态(“full is active”)表示FIFO已经没有空间来存储更多的数据,读操作的临界表示FIFO没有更多 u- H, X3 \ n3 ]/ ?
的数据可以读出。status模块还可告诉FIFO中“满”或“空”位置的数值。这是由指针的算术运算来完成了。$ s3 m! X& J6 x$ Y8 n5 f
实际的“满”或“空”位置计算并不是为FIFO自身提供的。它是作为一个报告机构给外部电路用的。但是,“满”和“空”信号在FIFO中却扮演着非常重要的角色,它为了能实现读与写操作各自的独立运行而阻塞性的管理数据的存取。这种阻塞性管理的重要性不是将数据复写(或重读),而是指针位置可以控制整个FIFO,并且使读、写操作改变着指针数值。如果我们不阻止指针在临界状态下改变状态,FIFO还能都一边“吃”着数据一边“产生”数据,这简直是不可能的。# F7 J# h/ O9 P$ K/ g
进一步分析:DPRAM若能够寄存读出的信号,这意味着存储器的输出数据已被寄存。如果这样的话,读指针将不得不设计成“read 并加1 ”,也就是说在FIFO输出数据有效之前,必须提供一个明确的读信号。另一方面,如果DPRAM没有寄存输出,一旦写入有效数据就可以读出;先读数据,然后使指针加1。这将影响到从FIFO读出数据和实现空/满计算的逻辑。由于简化的缘故,我们仅论述DPRAM没有提供索锁存输出的情况。同理,将其推广到寄存输出的DPRAM并不是很复杂。* ]( s. t" g0 C2 A+ W3 ~
从功能上看,FIFO工作原理如下所述:复位时,读、写指针均为0。这是FIFO的空状态,空标志为高电平,(我们用高电平表示空标志)此时满标志为低电平。当FIFO出现空标志时,不允许读操作,只能允许写操作。写操作写入到位置0,并使写指针加1。此时,空标志变为低电平。假设没有发生读操作而且随后的一段时间FIFO中只有写操作。一定时间后,写指针的值等于array_size-1。这就意味着在存储器中,要写入数据的最后一个位置就是下一个位置。在这种情况下,写操作将写指针变为0,并将输出满标志。
8 f8 Z& b2 q$ s+ R 注意,在这种情况下,写指针和读指针是相等的,但是FIFO已满,而不是空。这意味着“满”或“空”的决定并不是仅仅基于指针的值,而是基于引起指针值相等的操作。如果指针值相等的原因是复位或者读操作,FIFO认为是空;如果原因是写操作,那么FIFO认为是满。
' `1 a; H- R& n& y% K: A 现在,假设我们开始一系列的读操作,每次读操作都将增加读指针的值,直到读指针的位置等于array_size-1。在该点,从这个位置读出的FIFO输出总线上的数据是有效的。随后的逻辑读取这些数据并提供一个读信号 (在一个时钟周期内有效)。这将导致读指针再次等于写指针(在两个指针走完存储器一圈后)。然而,由于这次相等是由于一个读操作,将会输出空标志。
, H( ~- L/ O2 Q# L 因此,我们将得到如下的空标志:写操作无条件的清除空标志。
4 w- u. q$ g" e, |Read pointer=(array_size-1) , 读操作置空标志。
7 V8 F: O! g# Y 以及如下的满标志:读操作无条件的清除满标志,
1 i, F9 e0 M% VWrite pointer= (array_size-1), 写操作置满标志。' F+ [; {6 B- f: D; V
然而,这是一个特殊的例子,由于一般情况下,读操作在FIFO不是空的情况下就开始了(读操作逻辑不需要等待FIFO变满),因此这些条件不得不修改来存储读指针和写指针的每一个值。
- u# b- [( A; {( G6 o 有这样一个想法,那就是我们可以将存储器组织成一个环形列表。因此,如果写指针与读指针差值大于1或更多,就进行读操作,FIFO为空,这种工作方式对于用无符号(n-bit)结构来描述的临界状态非常适合。同样的,如果读指针与写指针的差值大于1,就进行写操作,直到FIFO为满。
2 F' e4 f" W4 s$ E" B 这将带来如下的条件:! l y3 d5 P; a; Z! x8 S6 O2 R8 w" e
写操作无条件的清除空标志。4 r) v3 P" h- Y+ Z- m, e4 w. j _
write_pointer=(read_pointer+1),读操作置空。
9 Z' ^+ i% N& p* w O @ 读操作无条件的清除满标志,
* V+ X$ X' L8 [7 Z0 R! b1 {! [# ^read_pointer= (write_pointer+1),写操作置满。
% t% y+ r6 w; K2 |8 K4 A; L 注意,读操作和写操作同时都在使其指针增加,但不改变空标志和满标志的状态。在空或满的临界状态同时读操作和写操作都是不允许的。
; O4 C8 h; B. h, }/ w 综上所述,我们现在能够定义FIFO的status模块,这里提供了用VHDL编写的代码,由于是同步的,很容易转换成Verilog HDL代码。4 e+ K/ E/ K, {& H) f- o: k5 Q% E
library IEEE, STD;
: Y1 R* H. G, Z% g+ x- W; L; vuse IEEE.std_logic_1164.all;
- F& H6 c$ n/ d4 ^* _4 xuse IEEE.std_logic_arith.all;. f$ m7 h) C, ]2 W0 P6 ]
use IEEE.std_logic_unsigned.all;
! W- ~: D6 \/ p7 Z* f& `/ V3 Oentity status is( p2 g3 V: |" n5 }, d
port (reset : in std_logic;( k W: h/ N. s6 D' ] F
clk : in std_logic;4 ^# W6 x5 C* ?+ V; }8 O
fifo_wr : in std_logic;
1 h1 D; j) Q( Z0 mfifo_rd : in std_logic;5 p1 u# e' k/ t: p6 ~
valid_rd : out std_logic;
/ q' p; p8 A" @. ~( Svalid_wr : out std_logic;
# B' ]7 ~8 `* K q; n+ Jrd_ptr : out std_logic_vector(4 downto 0);
6 X1 O2 \) A6 E0 M5 xwr_ptr : out std_logic_vector(4 downto 0);! ^/ B% J# p/ B+ |5 @
empty : out std_logic;
2 E. E9 M( F+ r# L3 {6 ]full : out std_logic
) Y5 @1 @* k3 [( W. \);
- u+ V) p' J4 V' Lend status;
8 f0 X5 T1 G. a7 C4 ?; U* p3 Sarchitecture status_A of status is r3 _, b; y8 v) X( j( \
signal rd_ptr_s : std_logic_vector(4 downto 0);
; l2 v7 [, J( J" }3 _% U. Nsignal wr_ptr_s : std_logic_vector(4 downto 0);
+ H& ~" ^5 G% c; V m$ Xsignal valid_rd_s : std_logic;, J. G2 L! D$ Y. L1 g5 E' E2 E& W
signal valid_wr_s : std_logic;
! Q3 x) p* v: q0 kbegin
! d+ P. `4 L+ s1 Aempty_P : process(clk, reset)3 w6 {" d6 o* o+ ~2 m+ [
begin
/ B u( s' N, f5 D+ Gif (reset = '1') then/ e5 A; L4 E, w9 g$ l7 A, s
empty <= '1';
$ X3 ?4 z! A2 ]elsif (clk'event and clk = '1') then# ^8 f2 a# O% [9 ~/ R
if (fifo_wr = '1' and fifo_rd = '1') then
3 R$ U- @& F i& W1 Y; I8 w-- do nothing
4 q. v) r7 S+ inull;, u+ [" O7 w1 T$ W& U$ w# @( c B
elsif (fifo_wr = '1') then
: r0 w% x+ \4 m-- write unconditionally clears empty
& t& K2 u. c U9 o3 C+ _empty <= '0';$ F" x; P" o6 p/ p8 A: F
elsif (fifo_rd = '1' and (wr_ptr_s = rd_ptr_s + '1')) then
+ H5 P: S. R2 Y. e# T5 a-- set empty, H) [5 E2 c9 Y+ t8 }# U$ Y
empty <= '1';
d0 `, u. L1 ?6 f+ M+ L* rend if;: @$ X1 ]! B- Y% W! c( T7 N8 }% A
end if;
' `/ S; A5 `+ k! W4 hend process;
# \/ X) V5 t, o S4 T+ `4 n6 vfull_P : process(clk, reset); B3 Y z! N# e# C5 o" m
begin3 {5 U6 K% h/ A, W: ]9 x
if (reset = '1') then
7 I) H& ` f# w4 f3 h' Pfull <= '0'; R Z( ^2 D+ B, \5 [) G0 n
elsif (clk'event and clk = '1') then% B( |2 ]8 x! z- H4 T( g" u
if (fifo_rd = '1' and fifo_wr = '1') then
$ `+ N" F' J$ D5 ~) \0 A-- do nothing
4 L% ^' g5 D6 \1 l. |null;9 s9 R$ u% V [; s/ I
elsif (fifo_rd = '1') then
* s G. `# b) c+ H5 U" O-- read unconditionally clears full {% W! Q0 H8 X: t7 i
full <= '0';
7 q' O# X8 N+ velsif (fifo_wr = '1' and (rd_ptr_s = wr_ptr_s + '1')) then2 J8 ^- K/ a0 q8 D9 b
-- set full5 v: [" O) I. x6 i8 \
full <= '1';
: S8 m. \( R. H; X( }# |end if;* d8 Q/ g5 |9 w8 ?( q6 G/ p1 \7 Z" g
end if;
0 N; T- M1 w4 ^" T$ hend process;
- n0 d9 S6 v& }( Q Q7 N8 wvalid_rd_s <= '1' when (empty = '0' and fifo_rd = '1');
, W9 U! Y9 @1 y* C4 k' o" t9 j, Lvalid_wr_s <= '1' when (full = '0' and fifo_wr = '1');; {& k7 O0 U7 V6 I- K4 b5 [
wr_ptr_s_P : process(clk, reset)
8 ? M" S' B+ s3 Jbegin( K4 ^7 l* I# X0 k, h k
if (reset = '1') then
* F5 q9 [1 K3 L9 {wr_ptr_s_P <= (others => '0');
( [$ ?) R3 ~% [- T1 oelsif (clk'event and clk = '1') then
2 q4 ?1 ^5 {# I+ m' J( K! t" qif (valid_wr_s = '1') then
: }) `3 E6 |6 G( C" [+ P5 ^+ L6 ]wr_ptr_s <= wr_ptr_s + '1';/ {0 |, V% |& @* l
end if;
- F/ p m Q3 _4 I% jend if;
% Z6 W- m% w+ l: F7 U# V' oend process;& {3 ?$ L6 ]$ B; S0 t3 `1 ]/ Y0 w
rd_ptr_s_P : process(clk, reset)1 a- f1 d3 a0 [# i
begin/ d- V2 [( W) W# y' s
if (reset = '1') then1 f/ Z3 b! @/ Z/ ]* _; E, i# d' o
rd_ptr_s_P <= (others => '0');! @' e) F6 L1 j# }" @9 e: d
elsif (clk'event and clk = '1') then
3 p% n2 V3 u! R6 aif (valid_rd_s = '1') then+ w Y/ N: u! v- p( f- d3 u; g
rd_ptr_s <= rd_ptr_s + '1';
& c [/ U2 }& Xend if;8 v& o9 k- v; R0 {; G" ?0 p) q3 Z
end if;
! K1 M6 `0 m2 U0 O, `, X% uend process;
& ^% g3 @# V! r& F4 l' B+ lrd_ptr <= rd_ptr_s;
# }- ?% Y2 b: A& n/ e% m1 F( V8 c" kwr_ptr <= wr_ptr_s;7 w( S) _4 `) g" V3 y: w
end status_A;9 A4 p& U4 v* h: ~1 E& W
电路图如图2所示:
/ k2 u* A8 B" Z9 U+ g. i; H# Vhttp://www.dzkf.cn/upimg/userup/0902/260S4193118.jpg
7 P+ E# l3 T, d 细心的读者会注意到图2中产生满或空标志需要同时用到两个指针。在双时钟设计的情况下,希望用读指针处理(work off) 读时钟,写指针处理(work off) 写时钟。这会引起不希望发生的毛刺问题——自己可以去试一试,看一看。这些问题以及一些解决方案将在后续的该系列文章中提及。
. K/ R! ~% H# a" O3 Q5 J5 E+ JPS: 文章中三次提到 work off clock,分别在开头和结尾处,work off字面意思是“去除,消除,出售”的意思,可是在FIFO中,不应该是去除的意思,故根据前后文和常识,将其翻译为“处理”,有不对的地方请批评指正!# C& ~3 }& L" m" d T: ]
|
|