版主
主题
回帖0
积分10609
阅读权限200
注册时间2008-11-22
最后登录1970-1-1
在线时间 小时
|
楼主 |
发表于 2009-11-27 14:42
|
显示全部楼层
异步FIFO结构
! k& \2 N8 l, I$ \) k
. J# i4 g& V f, k) {2 w2 p设计一个FIFO是ASIC设计者遇到的最普遍的问题之一。本文着重介绍怎样设计FIFO——这是一个看似简单却很复杂的任务。
1 q E5 D) \4 A0 J# {* u 一开始,要注意,FIFO通常用于时钟域的过渡,是双时钟设计。换句话说,设计工程要处理(work off)两个时钟,因此在大多数情况下,FIFO工作于独立的两个时钟之间。然而,我们不从这样的结构开始介绍—我们将从工作在单时钟的一个FIFO特例开始。虽然工作在同一时钟的FIFO在实际应用中很少用到,但它为更多的复杂设计搭建一个平台,这是非常有用的。然后再从特例推广到更为普通的FIFO,该系列文章包括以下内容:
4 v& [9 \2 B- d8 B1.单时钟结构
3 M2 c* J3 Z2 y2.双时钟结构——双钟结构1$ E: m9 F) b- J
3.双时钟结构——双钟结构2
: V* i0 s9 @9 m, M4.双时钟结构——双钟结构3
& c: S( J: P! w( ?" N, m5 t5.脉冲模式FIFO
4 e' I, T7 f4 d' K单时钟FIFO特例
2 o% \4 |: x/ b& j" F& A% ? FIFO有很多种结构,包括波浪型(ripple)FIFO,移位寄存器型以及其他一些我们并不关心的结构类型。我们将集中讨论包含RAM存储器的结构类型。其结构如图1所示。
; n5 T, x- b6 Y) A6 \ m' S3 b2 Lhttp://www.dzkf.cn/upimg/userup/0902/260S2296040.jpg ' A2 _4 X, P9 n& B
通过分析,我们看到图中有一个具有独立的读端口和独立的写端口的RAM存储器。这样选择是为了分析方便。如果是一个单端口的存储器,还应包含一个仲裁器保证同一时刻只能进行一项操作(读或写 ),我们选择双口RAM(无需真正的双口RAM,因为我们只是希望有一个简单的相互独立的读写端口)是因为这些实例非常接近实际情况。) J, W9 n: A% j. N2 g: L1 L
读、写端口拥有又两个计数器产生的宽度为log2(array_size)的互相独立的读、写地址。数据宽度是一个非常重要的参数将在在稍后的结构选择时予以介绍,而现在我们不必过分的关心它。为了一致,我们称这些计数器为“读指针”(read pointer)和“写指针”(write pointer)。写指针指向下一个将要写入的位置,读指针指向下一个将要读取的位置。每次写操作使写指针加1,读操作使读指针加1。
1 Z* B' Y5 g; B/ d U 我们看到最下面的模块为“状态”(stauts) 模块。这个模块的任务实给FIFO提供“空”(empty)和“满”(full)信号。这些信号告诉外部电路FIFO已经达到了临界条件:如果出现“满”信号,那么FIFO为写操作的临界状态,如果出现“空”信号,则FIFO为读操作的临界状态。写操作的临界状态(“full is active”)表示FIFO已经没有空间来存储更多的数据,读操作的临界表示FIFO没有更多
' P. d" M( Q% ^' t( N( t; t的数据可以读出。status模块还可告诉FIFO中“满”或“空”位置的数值。这是由指针的算术运算来完成了。
) ` \/ n; C( O1 A4 X- Z. A 实际的“满”或“空”位置计算并不是为FIFO自身提供的。它是作为一个报告机构给外部电路用的。但是,“满”和“空”信号在FIFO中却扮演着非常重要的角色,它为了能实现读与写操作各自的独立运行而阻塞性的管理数据的存取。这种阻塞性管理的重要性不是将数据复写(或重读),而是指针位置可以控制整个FIFO,并且使读、写操作改变着指针数值。如果我们不阻止指针在临界状态下改变状态,FIFO还能都一边“吃”着数据一边“产生”数据,这简直是不可能的。
, Y/ q+ V1 m. z: B 进一步分析:DPRAM若能够寄存读出的信号,这意味着存储器的输出数据已被寄存。如果这样的话,读指针将不得不设计成“read 并加1 ”,也就是说在FIFO输出数据有效之前,必须提供一个明确的读信号。另一方面,如果DPRAM没有寄存输出,一旦写入有效数据就可以读出;先读数据,然后使指针加1。这将影响到从FIFO读出数据和实现空/满计算的逻辑。由于简化的缘故,我们仅论述DPRAM没有提供索锁存输出的情况。同理,将其推广到寄存输出的DPRAM并不是很复杂。: B* W) w9 n& s, d5 {0 D
从功能上看,FIFO工作原理如下所述:复位时,读、写指针均为0。这是FIFO的空状态,空标志为高电平,(我们用高电平表示空标志)此时满标志为低电平。当FIFO出现空标志时,不允许读操作,只能允许写操作。写操作写入到位置0,并使写指针加1。此时,空标志变为低电平。假设没有发生读操作而且随后的一段时间FIFO中只有写操作。一定时间后,写指针的值等于array_size-1。这就意味着在存储器中,要写入数据的最后一个位置就是下一个位置。在这种情况下,写操作将写指针变为0,并将输出满标志。
4 O% M( x3 v1 M7 J# V9 W 注意,在这种情况下,写指针和读指针是相等的,但是FIFO已满,而不是空。这意味着“满”或“空”的决定并不是仅仅基于指针的值,而是基于引起指针值相等的操作。如果指针值相等的原因是复位或者读操作,FIFO认为是空;如果原因是写操作,那么FIFO认为是满。
% C0 S' y: C2 {% S0 I9 y 现在,假设我们开始一系列的读操作,每次读操作都将增加读指针的值,直到读指针的位置等于array_size-1。在该点,从这个位置读出的FIFO输出总线上的数据是有效的。随后的逻辑读取这些数据并提供一个读信号 (在一个时钟周期内有效)。这将导致读指针再次等于写指针(在两个指针走完存储器一圈后)。然而,由于这次相等是由于一个读操作,将会输出空标志。) x' Z4 a( ]* W0 `* U1 e
因此,我们将得到如下的空标志:写操作无条件的清除空标志。2 c( t4 u L: G! S
Read pointer=(array_size-1) , 读操作置空标志。
# c( P! D6 Q% e: g: _8 K2 E 以及如下的满标志:读操作无条件的清除满标志,) P6 r4 D5 u, |* [% y# F5 l! T
Write pointer= (array_size-1), 写操作置满标志。 e3 b2 J, }2 s" F; V/ t0 X! _) P
然而,这是一个特殊的例子,由于一般情况下,读操作在FIFO不是空的情况下就开始了(读操作逻辑不需要等待FIFO变满),因此这些条件不得不修改来存储读指针和写指针的每一个值。# j* ^( i( {1 Z. E
有这样一个想法,那就是我们可以将存储器组织成一个环形列表。因此,如果写指针与读指针差值大于1或更多,就进行读操作,FIFO为空,这种工作方式对于用无符号(n-bit)结构来描述的临界状态非常适合。同样的,如果读指针与写指针的差值大于1,就进行写操作,直到FIFO为满。; \6 j; u1 l( p0 J/ G4 C
这将带来如下的条件:6 N; D7 t% ?/ _: q4 B6 \# w
写操作无条件的清除空标志。
2 y2 h4 I1 e6 b# D+ H4 Dwrite_pointer=(read_pointer+1),读操作置空。
: x) F/ Y8 e9 n: v+ i, ^ h 读操作无条件的清除满标志,
0 V6 k8 A( J! \& Kread_pointer= (write_pointer+1),写操作置满。# |3 o* o( i+ W0 x: l/ o% f
注意,读操作和写操作同时都在使其指针增加,但不改变空标志和满标志的状态。在空或满的临界状态同时读操作和写操作都是不允许的。9 _# ]9 W1 @/ Y. Q- ^( x0 l
综上所述,我们现在能够定义FIFO的status模块,这里提供了用VHDL编写的代码,由于是同步的,很容易转换成Verilog HDL代码。( p: R$ j* w! y8 L# \% H3 `
library IEEE, STD;- v; z/ e: I( w6 c
use IEEE.std_logic_1164.all;
2 }- E% M5 X5 A$ W3 Ouse IEEE.std_logic_arith.all;
9 K# Q0 p7 d+ o6 D/ ause IEEE.std_logic_unsigned.all;
. b1 P5 y4 ?6 Centity status is
' l: i9 ^6 _1 o! ?port (reset : in std_logic;' X6 M$ [# u9 d" \ z5 o
clk : in std_logic;. u! ~: T7 ?7 k; ^% z* l7 m9 C
fifo_wr : in std_logic;
9 ^8 `6 B% l3 ~9 l- e; S% tfifo_rd : in std_logic;) D4 u. G9 T% Y- {" V2 n$ n8 m
valid_rd : out std_logic;
f8 D) Z- c& d E+ ^* Uvalid_wr : out std_logic;
# y$ b8 ^ @3 B# rrd_ptr : out std_logic_vector(4 downto 0);% U9 H( N% {+ j) k' p) X
wr_ptr : out std_logic_vector(4 downto 0);
3 _! D! D8 t0 M# x' S' Kempty : out std_logic;" x. J, P7 I' `! c, m; X
full : out std_logic
6 ? W' ^7 k: G. _& I5 z);
% m+ w' ?! D" \* N6 jend status;. w+ h" M5 d1 K. T+ ?
architecture status_A of status is
4 ]( C7 m0 Z7 s) V/ ~+ Ssignal rd_ptr_s : std_logic_vector(4 downto 0);+ z0 s1 H# q# u: I1 m" h
signal wr_ptr_s : std_logic_vector(4 downto 0);+ V }, V, l" K' R/ |: i# m- y3 `
signal valid_rd_s : std_logic;
% Z! \/ a4 }, b- Csignal valid_wr_s : std_logic;
+ f0 @8 ^0 w; G- D0 ~4 nbegin
2 M6 s u" m5 j4 r* G+ zempty_P : process(clk, reset)6 ~4 N8 h7 J$ M( e0 F" Z7 I. X
begin
' a8 F! O/ T/ E" a$ q7 s. R( pif (reset = '1') then
; Y" X3 n; }4 c7 ^! Lempty <= '1';( o/ e1 y( `# u$ K* O+ U' o2 t
elsif (clk'event and clk = '1') then# S+ }( L- D4 k$ `
if (fifo_wr = '1' and fifo_rd = '1') then2 q: G' v# Z% M' D4 }" ]
-- do nothing
, R# J' M1 j: V+ nnull;% R) _) c; k8 H4 Q$ i& ]1 N" i" M S% @
elsif (fifo_wr = '1') then" m; b$ B' K) v4 Q" c
-- write unconditionally clears empty
& m. c! D" s* p* s; b0 c2 Dempty <= '0';8 _3 r$ l# ?( ^
elsif (fifo_rd = '1' and (wr_ptr_s = rd_ptr_s + '1')) then; W/ V+ Y8 l( Q1 ^
-- set empty
6 J! T7 B' ^4 i5 S pempty <= '1';9 I" n; s4 U- m& i8 V8 q
end if;
* i% Z! z/ V" _ b: J: P$ @- Pend if;
- |3 d" r9 U$ `' Z; g, @7 lend process;7 _$ s# Y4 [7 ]1 V. q: @
full_P : process(clk, reset)
; K5 h9 p; {. G, Ibegin4 f2 f7 g0 L; F @7 r. P
if (reset = '1') then# i1 Q% s+ t, k$ H
full <= '0';
) p) C: C6 M3 a3 p" qelsif (clk'event and clk = '1') then
& W1 C" o& Z. |* s, z7 R: U4 Rif (fifo_rd = '1' and fifo_wr = '1') then
4 k9 `; n+ A/ m K/ I* V-- do nothing
6 n5 }0 H$ V5 B! ?9 @0 L8 Bnull;
% F! w2 N" O8 d. t6 z) `5 k( \elsif (fifo_rd = '1') then5 }/ d# N8 E1 ]" {, r4 d. M" Z
-- read unconditionally clears full
R) Y7 x- e" X4 w2 y2 yfull <= '0';
% [6 o+ ~1 y9 r/ N0 C( M6 [& Uelsif (fifo_wr = '1' and (rd_ptr_s = wr_ptr_s + '1')) then, V# M6 Q! ^: k* F
-- set full
0 B- ~- z% Q6 j, ^full <= '1';2 x( R" Y7 K: O$ T. h
end if;& e0 n3 Y# B8 |, ~& R
end if;
! I( Q5 ?; Y* z- J9 O# a$ W6 Uend process;/ f! K. G1 N, ?2 V% ^
valid_rd_s <= '1' when (empty = '0' and fifo_rd = '1');+ L' }7 d& A& h: R8 k
valid_wr_s <= '1' when (full = '0' and fifo_wr = '1');0 k. T3 {* G9 F1 C4 l
wr_ptr_s_P : process(clk, reset)4 g- j* |5 b" O, q$ ]& s" X. S
begin
* Q8 ] _3 r& {+ q7 j) ?if (reset = '1') then7 N- h" Z! j" l/ T3 ~
wr_ptr_s_P <= (others => '0');
# a' L' G2 v% J2 [6 [, t! Melsif (clk'event and clk = '1') then, J3 l Q! o) r) f+ i# X' R
if (valid_wr_s = '1') then
% h+ F7 O; }9 d+ C' owr_ptr_s <= wr_ptr_s + '1';3 k5 n* |" j B$ u7 @1 t* d
end if;6 C7 d G7 t" b( a+ {
end if;! {8 i% W$ S& T) ~8 b
end process;) f( a+ m, N& `3 n: s# J
rd_ptr_s_P : process(clk, reset)
, L0 p+ a" ?4 t: k( c- ~$ Vbegin
6 G- i+ |* F7 y9 B Vif (reset = '1') then
6 D3 }' t, X$ {rd_ptr_s_P <= (others => '0');
7 Y5 O z# e6 p* w8 u0 Zelsif (clk'event and clk = '1') then
+ l4 a; I3 V* y/ o" jif (valid_rd_s = '1') then6 f- r; l7 _7 t5 g
rd_ptr_s <= rd_ptr_s + '1';, X) B3 Y& k* i. T/ V8 J
end if;
$ ] d' r7 Z+ X4 m0 ] kend if;
& Z; W. Z% h. M1 hend process;
5 i! G; m' X- ~: [$ n; u1 E+ nrd_ptr <= rd_ptr_s;2 E1 g4 d. y0 G/ K# O
wr_ptr <= wr_ptr_s;
& j0 D: b- ? R# |end status_A;2 C8 s" o0 c) V( ?
电路图如图2所示:
& r; g2 `, |' Nhttp://www.dzkf.cn/upimg/userup/0902/260S4193118.jpg 7 @1 i) ~( O3 |
细心的读者会注意到图2中产生满或空标志需要同时用到两个指针。在双时钟设计的情况下,希望用读指针处理(work off) 读时钟,写指针处理(work off) 写时钟。这会引起不希望发生的毛刺问题——自己可以去试一试,看一看。这些问题以及一些解决方案将在后续的该系列文章中提及。
+ D9 V' q* A% G3 A0 rPS: 文章中三次提到 work off clock,分别在开头和结尾处,work off字面意思是“去除,消除,出售”的意思,可是在FIFO中,不应该是去除的意思,故根据前后文和常识,将其翻译为“处理”,有不对的地方请批评指正!2 z3 B% o5 t$ Z# t) F9 L
|
|