一乐电子

 找回密码
 请使用微信账号登录和注册会员

QQ登录

只需一步,快速开始

微信扫码登录

搜索
查看: 8400|回复: 5

about Quartus II TimeQuest Timing Analyzer PDF documentation

[复制链接]
发表于 2011-4-1 15:26 | 显示全部楼层 |阅读模式
本帖最后由 kenson 于 2011-4-1 15:34 编辑
2 ^( d0 J' F6 C- E7 L4 S- s' y/ k' B: ^( _1 b! p+ Z( n6 w
SDC 命令
2 q- o& T1 z" o& p& L* ^) R* x mnl_sdctmq.pdf (846.7 KB, 下载次数: 687) # M0 ]* Q. h! ^, N0 l

/ ~8 A/ O( I3 e/ Jtimequest cookbook, T' Q( {' @0 _# C! T& o$ e
mnl_timequest_cookbook.pdf (312.89 KB, 下载次数: 910) / k- B8 W' C3 ~5 r- C" G
4 c0 o3 C% R; {4 {3 \
wp-01047-performing-equivalent-timing-analysis-between-timequest-and-trace.pdf (1.25 MB, 下载次数: 742)
, b3 y2 \& Z/ Z+ a& r1 z3 r( T2 e! t7 @5 D$ N
qts_qii53024.pdf (1.19 MB, 下载次数: 516) 4 }" L. k7 A- y0 C2 k# v0 i4 j. C) |
1 M- D. D& V% q# t" G( T

% D6 Y6 W' G. L
# _- J% Y$ O/ y1 ]% M! w2 i3 n
; d5 y5 Q+ P+ ]5 X9 {
$ h+ {2 a# X- i, y- d- G. M1 V
1 o6 Q$ Q7 X3 H2 V2 I9 S! Y+ |* j: \7 \, A7 |
请不要回复此贴
- H7 I; }' j1 m3 w5 A. x此贴是我自己学习记录用的
 楼主| 发表于 2011-4-3 12:43 | 显示全部楼层
本帖最后由 kenson 于 2011-4-3 12:56 编辑
& C! r, H2 a" F4 D6 r; z# y# v9 ]- k. {6 K  }6 Q, v9 ~4 P$ x
FPGA设计中关于分频时钟的考虑
- I6 S3 n& W2 y* x4 `! KFPGA设计中经常会将某个时钟进行分频处理,分频后的信号作为另一个时钟信号驱动设计中的其他模块。看起来这是一种很简单的方法,但是有经验的工程师都不会推荐直接将分频后的信号作为时钟信号使用。为什么呢? 原因主要有以下几个方面。 1. 分频后的时钟相对于原来的时钟有一个延迟,如果用这个时钟去锁存由原时钟驱动的信号,就有可能发生timing error,导致setup time或者hold time不满足。
+ a* H" _6 V& J3 P  }) u  Z4 p* O; ^8 E& x: M8 m- J. ]2 q- i8 ^, v: s

5 H1 Z' `2 a$ I, T7 e2. 如果分频后的时钟没有采用全部时钟的布线资源,就会使得该时钟到达FPGA内部各个逻辑块的skew相差较大,给时序分析工具带来很大的困难。如果没有在时序约束文件中将分频得到的始终和原时钟相关联,工具不会分析分频后时钟域和原时钟域之间的路径。 3. 即便是时序分析工具可以完成设计的时序分析,由于这些skew在电压、温度变化的时候也会发生变化,会引入更多的随机因素,从而有可能使得设计的稳定性变差,经常发生一些随机出现的错误,使得时序难以收敛,延误开发的进度。 一般来说如果必须要进行时钟的分频,可以采用时钟使能的方式,另外在Xlinx的FPGA中可以采用DCM实现0 skew的时钟分频。当然Altera的器件可能也有类似的硬件资源。 下面是一个简单的用时钟使能实现2分频的Verilog HDL例子:% R2 O8 j; a) [1 T* I2 O5 b% N
  1. reg  clk_en;
    / P. G" Z: S$ ?+ B5 x8 j# r# c
  2. always @ (posedge clk)    //clk 为原时钟. t- U7 i' P9 A; `
  3. begin' \+ e! Q) _) j6 z# V
  4.         clk_en <= ~clk_en; //clk_en在每个clk的上升沿翻转一次,相当于clk的二分频
    : f, D  B- Z5 M2 j% |  D
  5. end7 Q8 m. M6 I5 R- k2 _
  6. //时钟使能方式
    ( {0 o2 ], C0 W9 i" |& L" T! P8 p
  7. always @(posedge clk)
    6 H: K' [+ H5 ~
  8. begin7 j7 ^0 s" z7 w5 Y
  9.         if(clk_en)
    , Y$ G! Z* `7 {' C
  10.                 begin
    , [7 ]4 N6 x7 j& F' L3 W1 k
  11.                 //二分频时钟驱动的逻辑
    + @6 E. \& u& ~0 A. H; g
  12.                 end
    ' E+ i" T% E0 F
  13.         else
    0 a/ A. F% q1 n3 C0 n0 V
  14.                 begin% R- v/ F. ?" z# A* K) `  H
  15.                 end
    ) T% ]5 _8 s& k
  16.         end
    9 Y0 C5 x! Z+ D4 m+ d! ]
  17.   t! Z  b* p2 y. C8 x. z! p
复制代码

% b! \) ^8 X; |
6 [7 j! V& [, ^/ z1 i8 f; ^
2 O( H5 L3 `5 b$ y2 z* k7 E, U( w6 b6 q7 c4 _  B' x
* c2 O, B( a8 e$ l
  1. //=============直接分频方式===============) V7 ]9 w5 w# j% T) X
  2. reg clk_div2;$ t. \- `! t3 W+ m0 ]9 M
  3. always @ (posedge clk) //clk 为原时钟+ v2 n9 D) ?/ f0 y0 Y1 A
  4. begin
    / j# |6 d' Z8 O  V4 q; b
  5. clk_div2 <= ~clk_div2; //clk_div2在每个clk的上升沿翻转一次,相当于clk的二分频
    & D, r) b$ D7 ~# T# B7 g$ G! `' i
  6. end
    4 b- C. W" |5 e
  7. always @(posedge clk_div2)8 [1 J/ R9 q: q! W' g( k6 Y
  8. begin/ A  a$ u: w2 e* }( _: v5 ?: Q
  9. //二分频时钟驱动的逻辑- N/ `$ A6 D# X* J  l2 o5 z
  10. end- T+ J, n6 @" W) f6 [6 e) t
  11. 0 Y7 e% [5 U3 N4 s' D& F- l+ N
  12. 2 T( ~  }) d0 D# n& |( y
复制代码
回复

使用道具 举报

 楼主| 发表于 2011-4-3 13:06 | 显示全部楼层
本帖最后由 kenson 于 2011-4-3 16:26 编辑
& {" C4 k  b; F1 Q, _
+ o. w! f- o( f1 x  L时钟3分频电路& z3 e3 q- K! H# D9 K3 ?

! @8 Y$ \) a) ^
  1. module clk_3_odd (clk,reset,clk_out); //占空比为50%* `7 l. ^# m; Z! W
  2. input clk, reset;
    3 K, B) W9 n  H. l; D: z/ |: I4 Y
  3. output clk_out;
    7 @1 D/ E& _) O9 g* d( @$ s( @3 q
  4. reg[1:0] state;
    # r6 ]+ ]! R5 @3 f3 g9 V. N8 k
  5. reg clk1; - }. l2 w# E0 ^
  6. parameter s0=2'b00;2 X& h& a+ `& S8 f1 s- F! N1 D
  7. s1=2'b01;
    $ J) @; g" n, W2 Y  `) M) z
  8. s2=2'b11;+ W* U0 d* y" p
  9. always @(posedge clk or negedge reset)
    ! ~9 |& g$ ^& g5 f4 n% U. q2 L
  10. if(!reset) 3 R6 w5 f3 I. k8 v! _9 U9 P
  11. state<=s0; 6 p* T+ M5 z% `2 W
  12. else 9 Z- d0 h. t% V6 V' c
  13. case(state) 2 n4 ?$ c# @3 }) q: K* E
  14. s0:state<=s1;
    7 O8 j; K6 q$ Y' s9 A' y7 x- Q
  15. s1:state<=s2;
    4 k, |- @1 d+ _* ]1 N% Y
  16. s2:state<=s0; 9 A( a6 w" f, U2 m6 \& H* x
  17. default:state<=s0;
    ) n6 J" b7 k) F$ h! z* [
  18. endcase
    $ D5 W; S! r- {6 r( c. a/ V
  19. always @(negedge clk or negedge reset)
    % [4 d" \2 r: ]3 @% z0 r; g
  20. if(!reset)
    ! K' k- F8 e4 D3 l7 d& Z' |' E5 L
  21. clk1<=1'b0; ' i3 k. U/ Z% s( `
  22. else
    & }( B* h* J/ @/ G! g
  23. clk1<=state[0]; - z% h) M8 p) g+ m. l  ~4 _
  24. assign clk_out=state[0]&clk1;
    2 M$ x7 n* T* G* n& B/ _0 W  o) f
  25. endmodule
复制代码
回复

使用道具 举报

 楼主| 发表于 2011-4-3 15:58 | 显示全部楼层
标签: FPGA  时钟  
3 E  w& T/ C) U4 J! @' q% ^: N
FPGA中的时钟使能(转)$ v( @  u& w6 H; b0 T( C
  时钟使能电路是同步设计的基本电路。在很多设计中,虽然内部不同模块的处理速度不同,但由于这些时钟是同源的,可以将它们转化为单一时钟处理。在ASIC中可以通过STA约束让分频始终和源时钟同相,但FPGA由于器件本身和工具的限制,分频时钟和源时钟的Skew不容易控制(使用锁相环分频是个例外),难以保证分频时钟和源时钟同相,因此推荐的方法是使用时钟使能,通过使用时钟使能可以避免时钟“满天飞”的情况,进而避免了不必要的亚稳态发生,在降低设计复杂度的同时也提高了设计的可靠性。
3 \$ H& W6 F: r5 P5 o
1 Q6 ?/ B3 ^4 n% u    带使能端的D触发器,比一般D触发器多了使能端,只有在使能信号ENA有效时,数据才能从D端被打入D触发器,否则Q端输出不改变。1 S' C6 {' T! }, Q4 k( K
# K4 r2 \. Q, x
    我们可以用带使能端的D触发器来实现时钟使能的功能。
1 p( l# d" o* Z0 J% x5 d- _" p- s3 Y- E. @

) s; i* r9 y; z7 Everilog模型举例# n8 _/ }7 e, V6 h7 h; Q
2 Y+ ?6 ^3 U2 Y3 c" _1 y% L6 u
    在某系统中,前级数据输入位宽为8位,而后级的数据输出位宽为32,我们需要将8bit数据转换为32bit,由于后级的处理位宽为前级的4倍,因此后级处理的时钟频率也将下降为前级的1/4,若不使用时钟使能,则要将前级的时钟进行4分频来作后级处理的时钟。这种设计方法会引入新的时钟域,处理上需要采取多时钟域处理的方式,因而在设计复杂度提高的同时系统的可靠性也将降低。为了避免以上问题,我们采用了时钟使能以减少设计复杂度。* O2 G  h; D( ]& X1 q" B; Q# ~$ T) F
3 w; i7 m2 G4 P
例1:采用时钟使能; C6 B) Q3 w& M" z9 V

4 ^' H" V- l; `4 Fmodule clk_en(clk, rst_n, data_in, data_out);
8 o. u) ]1 K4 |" R" Minput clk;) P( k. e4 k6 s9 N/ i. W
input rst_n;7 X8 u! e: g7 p/ U9 l
input [7:0] data_in;( V3 H0 p- M  s; W# Z- N, h# a
output [31:0] data_out;
% V# o) W% q* L1 A, D( l  @
; `. ]- n( o' H% ireg [31:0] data_out;
* a& x/ H, E/ M& sreg [31:0] data_shift;
) q- D9 _7 w% ~! V4 |reg [1:0] cnt;9 r2 }( Q4 r' I. {
reg clken;
. _* B5 A) ^$ D! @) L1 ]6 J2 u! B, z8 M4 p5 M
always @(posedge clk or negedge rst_n)
: g6 ]7 `$ K1 Z( k! |; C$ Obegin
! e7 Z$ p& s5 ]" w% q   if (!rst_n)1 T) e* Y# ?# L, ]4 \9 P0 ^6 f* S
      cnt <= 0;) q+ A* A8 _3 m+ M9 J# A5 `: ?
   else
. u9 p: j, B; |2 e      cnt <= cnt + 1;
& V6 f9 I7 S. E% A; v, R% F8 Gend4 O7 c8 p- |: t  Y& U6 [
! [- g2 w1 g& i( R0 U
always @(posedge clk or negedge rst_n), G! S4 `# A7 d
begin% O, P; Z5 g+ H- C, p4 e' P1 l
   if (!rst_n)
# l" n% |1 u6 I      clken <= 0;
" Y' |! o( F6 S. G3 Y/ F   else if (cnt == 2'b01)
. k9 Y2 U+ C0 t/ |( W. {# r      clken <= 1;/ F' d! e+ E( `6 A. h. K9 r* @
   else+ m: E1 S& S2 `
      clken <= 0;
3 X  Q9 P" m& T0 g! c$ aend3 `) u0 K- ]  B# K# z7 J) D- W( E# z3 ^

8 E9 U; `6 K1 c+ L0 S1 ~always @(posedge clk or negedge rst_n)
6 ~* N! h* u% y$ n4 w& bbegin. M8 B# {7 X) u
   if (!rst_n)) S! Y3 `7 O" [" w& Q2 F# j' e6 A! t
      data_shift <= 0;
0 q* w3 x5 }# }4 z9 a# n   else   l1 I; ]( a/ V1 S0 H. s
      data_shift <= {data_shift[23:0],data_in};- Y$ G& `9 K/ U6 C2 l
end4 `7 D8 A7 e; I5 W, ^
& s. T$ q! \% ?1 D
always @(posedge clk or negedge rst_n)
1 ]) o7 j, K$ Ebegin
0 y4 l5 I1 _0 I# O; r7 U  _   if (!rst_n)5 t' j  o$ j6 g! N% N6 _: N  ~
      data_out <= 0;
% i/ n7 {+ R% D; `+ h& }   else if (clken == 1'b1)" y/ {( _( z; J; _/ ?* J" k7 j. a
      data_out <= data_shift;0 `8 e6 f/ [& l% l" X$ q5 _
end/ ]' }" S+ ^' g# N
5 e$ I9 x6 k1 K; [* @1 c: X
endmodule
回复

使用道具 举报

 楼主| 发表于 2011-4-3 16:28 | 显示全部楼层
例2:采用分频方法
7 o8 X3 |+ B' _" D' U1 k  g& f0 P) [
module clk_en1(clk, rst_n, data_in, data_out);) r& g- X, H5 ^8 s( K
input clk;
0 g, t" t# E% B$ ginput rst_n;3 m. v- j; k. I7 C0 o
input [7:0] data_in;
% J  Z. C! \1 R/ G+ q  Q9 \8 Uoutput [31:0] data_out;
* C: [! z/ X! u6 }( ?2 W
. c' J. f- o3 V' ~" Hreg [31:0] data_out;3 s4 g% {9 T; {" h2 Q
reg [31:0] data_shift;8 |( j8 `# x1 y6 R" V; d( F
reg [1:0] cnt;
1 N( F" ^1 Y+ ^' v$ i; U& Zwire clken;
+ a; h6 H. q7 q+ E2 ?! C$ R: @
' N$ c2 d) [: O5 N; ~always @(posedge clk or negedge rst_n)
$ b6 A# s8 F. W* m4 _begin0 g: F' K' V) \7 z, A+ f0 P
   if (!rst_n)
2 n6 V6 r8 \5 c7 J! D      cnt <= 0;
) |& R( Z# d$ ^- {   else# }+ ?, v9 j4 D% M+ W
      cnt <= cnt + 1;
) X8 ~+ |3 U: i. jend
/ X1 t2 B0 y" e4 ~6 f" f* V4 T3 C0 \  g! S. }' k
assign clken = cnt[1];1 |' u) o, g& F, ?8 A

3 p( |# L4 A7 ]) B2 G! j9 Aalways @(posedge clk or negedge rst_n)
2 u& ~8 D. k# I! l- g0 lbegin/ H" D1 ~1 I% B7 c$ v# K
   if (!rst_n)
% A, H0 c. w$ f, @/ P      data_shift <= 0;, o, \. P) F. A6 D/ a
   else ) N8 m; E* k) X6 L. ^; U$ |+ U* T$ L
      data_shift <= {data_shift[23:0],data_in};. Y0 e/ h. r/ c& Y+ x4 ?6 s0 y
end+ S" w& y5 O$ t6 z" x: f
+ u' V% D9 O, A$ X8 S, h
always @(posedge clken or negedge rst_n). [( N, y3 t$ c# j5 H
begin' @" }4 O; \: L3 \
   if (!rst_n)$ w) n* w7 t* e& h4 [, B0 w
      data_out <= 0;
3 p7 H) k1 q' v8 u# }; l! W! m   else ( O( f. r0 S" ~& f
      data_out <= data_shift;
( M- v  u/ e0 K( z+ A3 S* |* P& fend- _  g2 l+ e+ z

( A; f4 ?! x2 I: B5 Y# p+ m- m) b8 y. Dendmodule
回复

使用道具 举报

 楼主| 发表于 2011-4-3 16:36 | 显示全部楼层
FPGA时钟问题集合   x/ Q( Q+ R5 D2 B* }' V9 ~
7 m& B) F% ^; ^* `
, y) @, v* \2 |$ O
无沦是用离散逻辑、可编程逻辑,还是用全定制硅器件实现的任何数字设计,为了成功地操作,可靠的时钟是非常关键的。设计不良的时钟在极限的温度、电压或制造工艺的偏差情况下将导致错误的行为,并且调试困难、花销很大。在设计PLD/FPGA时通常采用几种时钟类型。时钟可分为如下四种类型:全局时钟、门控时钟、多级逻辑时钟和波动式时钟。多时钟系统能够包括上述四种时钟类型的任意组合。
" ^! h" d* s" X3 ~) j, N$ z! s' S* V/ |. |7 m9 y9 I/ E

4 B* Q- S4 z9 V+ U4 K( B1.全局时钟
4 J3 x/ n$ ~" c! n3 `9 @% k4 ~3 Z6 v) w; ^8 |* g% e. g; I
对于一个设计项目来说,全局时钟(或同步时钟)是最简单和最可预测的时钟。在PLD/FPGA设计中最好的时钟方案是:由专用的全局时钟输入引脚驱动的单个主时钟去钟控设计项目中的每一个触发器。只要可能就应尽量在设计项目中采用全局时钟。PLD/FPGA都具有专门的全局时钟引脚,它直接连到器件中的每一个寄存器。这种全局时钟提供器件中最短的时钟到输出的延时。
2 Q5 Y, ]- o9 l# d: q% ?1 X$ `7 e9 ?/ ~4 \1 p
" E1 y) _9 `( d# G( t
图1 示出全局时钟的实例。图1 定时波形示出触发器的数据输入D[1..3]应遵守建立时间和保持时间的约束条件。建立和保持时间的数值在PLD数据手册中给出,也可用软件的定时分析器计算出来。如果在应用中不能满足建立和保持时间的要求,则必须用时钟同步输入信号(参看下一章“异步输入”)。
( b+ d3 F# b) k+ q

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_1.jpg

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_2.jpg


. j: U3 U; @( h5 L& G图1 全局时钟


4 K9 ^' n. Z/ ^$ m(最好的方法是用全局时钟引脚去钟控PLD内的每一个寄存器,于是数据只要遵守相对时钟的建立时间tsu和保持时间th)- X- k! C" y- X/ N* ?
 
6 y! h5 @0 K# e7 _* q: w% V& }6 ?6 u$ j2.门控时钟% p# M" [  m3 b' q9 v
. o# U: x6 g; u  x/ E6 ?$ v
在许多应用中,整个设计项目都采用外部的全局时钟是不可能或不实际的。PLD具有乘积项逻辑阵列时钟(即时钟是由逻辑产生的),允许任意函数单独地钟控各个触发器。然而,当你用阵列时钟时,应仔细地分析时钟函数,以避免毛刺。$ j2 `, N! h2 T1 _  B0 y  u8 }
通常用阵列时钟构成门控时钟。门控时钟常常同微处理器接口有关,用地址线去控制写脉冲。然而,每当用组合函数钟控触发器时,通常都存在着门控时钟。如果符合下述条件,门控时钟可以象全局时钟一样可靠地工作:/ d( n+ D+ h) O/ k* w
  h/ N5 ^# V! w: y" j0 _7 |9 r6 A
1.驱动时钟的逻辑必须只包含一个“与”门或一个“或”门。如果采用任何附加逻在某些工作状态下,会出现竞争产生的毛刺。
3 Q. e4 ~0 D- q2.逻辑门的一个输入作为实际的时钟,而该逻辑门的所有其它输入必须当成地址或控制线,它们遵守相对于时钟的建立和保持时间的约束。: q4 x, J1 h' _2 W" b. h; H5 V
0 A; l5 v' M! }1 o  V
7 H# |$ e9 {9 f, n. V6 |
图 2和图3 是可靠的门控时钟的实例。在 图2 中,用一个“与”门产生门控时钟,在 图3 中,用一个“或”门产生门控时钟。在这两个实例中,引脚nWR和nWE考虑为时钟引脚,引脚ADD[o..3]是地址引脚,两个触发器的数据是信号 D[1..n]经随机逻辑产生的。
+ N" ~: l0 x$ I5 e

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_3.jpg

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_4.jpg

图2 “与”门门控时钟


  g" Y$ v& W% l# k 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_5.jpg


# P! A' A% F% _0 X: f 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_6.jpg

图3 “或”门门控时钟


5 l. C+ ~7 O2 U. t& l3 w  T! n* u& a0 B- e0 D" z
图2和图3 的波形图显示出有关的建立时间和保持时间的要求。这两个设计项目的地址线必须在时钟保持有效的整个期间内保持稳定(nWR和nWE是低电平有效)。如果地址线在规定的时间内未保持稳定,则在时钟上会出现毛刺,造成触发器发生错误的状态变化。另一方面,数据引脚D[1..n]只要求在nWR和nWE的有效边沿处满足标准的建立和保持时间的规定。
3 R: e$ D- i) q4 Z* N. C/ a# l$ J$ k. ]7 D& A
我们往往可以将门控时钟转换成全局时钟以改善设计项目的可靠性。图4 示出如何用全局时钟重新设计 图2 的电路。地址线在控制D触发器的使能输入,许多PLD设计软件,如MAX+PLUSII软件都提供这种带使能端的D触发器。当ENA为高电平时,D输入端的值被钟控到触发器中:当ENA为低电平时,维持现在的状态。

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_7.jpg


! t0 x# R5 I# x) `+ Lhttp://image16.360doc.com/DownloadImg/2010/10/2417/6240652_8.jpg

图4 “与”门门控时钟转化成全局时钟! D. n2 I! U8 h' N

9 O" ]( K; _; W- q  B, C$ ]4 S3 i
5 z* _7 W7 j( q, K" `1 Y% N6 b
- l: _$ h# Y! M/ j1 u

1 p6 R- [+ T. o2 R. p图4 中重新设计的电路的定时波形表明地址线不需要在nWR有效的整个期间内保持稳定;而只要求它们和数据引脚一样符合同样的建立和保持时间,这样对地址线的要求就少很多。) r' |" z- Y4 ?3 g/ f
; ^% ?* g$ I  _* c$ J( \8 H
图给出一个不可靠的门控时钟的例子。3位同步加法计数器的RCO输出用来钟控触发器。然而,计数器给出的多个输入起到时钟的作用,这违反了可靠门控时钟所需的条件之一。在产生RCO信号的触发器中,没有一个能考虑为实际的时钟线,这是因为所有触发器在几乎相同的时刻发生翻转。而我们并不能保证在 PLD/FPGA内部QA,QB,QC到D触发器的布线长短一致,因此,如 图5 的时间波形所示,在器从3计到4时,RCO线上会出现毛刺(假设QC到D触发器的路径较短,即QC的输出先翻转)。
* ]# E& f1 G1 ~  J1 E( y

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_9.jpg

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_10.jpg

& a% b8 a& R& R* L% ?
 


+ T( {8 z: W( M, _+ k  w图5 不可靠的门控时钟+ E! n1 [4 K  F% Z! L
3 {6 M5 R- N$ o. z! P; H4 C
(定时波形示出在计数器从3到4改变时,RCO信号如何出现毛刺的)

$ y6 M6 y' b7 [! V1 R! C

' `1 h0 C5 e/ D1 D* L8 b# c1 L+ }: d) c2 ^4 I" C
图6 给出一种可靠的全局钟控的电路,它是图5不可靠计数器电路的改进,RCO控制D触发器的使能输入。这个改进不需要增加PLD的逻辑单元。

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_11.jpg
- ?/ q7 u; k! u: B' P1 G; A  R& M
图6 不可靠的门控时钟转换为全局时钟
2 g  X& f5 Y% ?" ]
; M2 L* T( O/ T' X1 P(这个电路等效于图5电路,但却可靠的多)


, Y+ |& D& {, T+ e# I2 C, M6 T- f
3.多级逻辑时钟
5 e. p# m7 U% P9 Y
; }6 \$ G. W" b* f9 |/ A* J当产生门控时钟的组合逻辑超过一级(即超过单个的“与”门或“或”门)时,证设计项目的可靠性变得很困难。即使样机或仿真结果没有显示出静态险象,但实际上仍然可能存在着危险。通常,我们不应该用多级组合逻辑去钟控PLD设计中的触发器。
$ X, y. n5 I; S9 D1 z( K. a$ Z) D6 m1 B$ P- K
图 7 给出一个含有险象的多级时钟的例子。时钟是由SEL引脚控制的多路选择器输出的。多路选择器的输入是时钟(CLK)和该时钟的2分频(DIV2)。由图7 的定时波形图看出,在两个时钟均为逻辑1的情况下,当SEL线的状态改变时,存在静态险象。险象的程度取决于工作的条件。多级逻辑的险象是可以去除的。例如,你可以插入“冗余逻辑”到设计项目中。然而,PLD/FPGA编译器在逻辑综合时会去掉这些冗余逻辑,使得验证险象是否真正被去除变得困难了。为此,必须应寻求其它方法来实现电路的功能。
- Z* \6 t/ D$ I0 w( j- T 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_12.jpg


7 q" Y9 T! T1 ?4 U* b图7 有静态险象的多级时钟

2 p! @5 t1 c$ r& w. l

& g% U8 x- j8 H' ^# I图8 给出 图7 电路的一种单级时钟的替代方案。图中SEL引脚和DIV2信号用于使能D触发器的使能输入端,而不是用于该触发器的时钟引脚。采用这个电路并不需要附加 PLD的逻辑单元,工作却可靠多了。 不同的系统需要采用不同的方法去除多级时钟,并没有固定的模式。
& d/ a! P6 G) u. i 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_13.jpg

图7 无静态险象的多级时钟

(这个电路逻辑上等效于图7,但却可靠的多)


3 R4 P1 [0 B5 G7 E4 q; m! h 
8 i4 P9 m& ]4 t# S& O" I0 B3 A4.行波时钟7 ?' {" I  A& h/ S

1 \1 ]3 w* u/ E2 K9 G8 j8 ~另一种流行的时钟电路是采用行波时钟,即一个触发器的输出用作另一个触发器的时钟输入。如果仔细地设计,行波时钟可以象全局时钟一样地可靠工作。然而,行波时钟使得与电路有关的定时计算变得很复杂。行波时钟在行波链上各触发器的时钟之间产生较大的时间偏移,并且会超出最坏情况下的建立时间、保持时间和电路中时钟到输出的延时,使系统的实际速度下降。' x6 E" V; B: Q& ]" b, A
用计数翻转型触发器构成异步计数器时常采用行波时钟,一个触发器的输出钟控下一个触发器的输入,参看图9 同步计数器通常是代替异步计数器的更好方案,这是因为两者需要同样多的宏单元而同步计数器有较快的时钟到输出的时间。图10 给出具有全局时钟的同步计数器,它和 图9 功能相同,用了同样多的逻辑单元实现,却有较快的时钟到输出的时间。几乎所有PLD开发软件都提供多种多样的同步计数器。+ r% m. \, @$ O$ m& Q3 D( D
 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_14.jpg

图9 行波时钟

- S; _. m+ H, u1 z) K
 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_15.jpg

图10 行波时钟转换成全局时钟5 [. ^: [% ?# q& I; c# Q, b  N
(这个3位计数器是图9异步计数器的替代电路,它用了同样的3个宏单元,但有更短的时钟到输出的延时)

9 J8 g2 L* n: ?( x* x
5. 多时钟系统# s+ d! [; ^  {
" O2 m* I+ a! s. l$ E+ }  {1 w

/ z! H0 W. `, R) F* l$ e' x% `8 k* [5 f

  ?: ^  H5 g( F6 o
' L! n0 R: {( I- D3 B& @) h( B/ A: P) I0 `3 I
# x) _1 f" x* ^# t2 d9 d1 R1 G
5 g6 j( M) M8 K7 {2 s
许多系统要求在同一个PLD内采用多时钟。最常见的例子是两个异步微处理器器之间的接口,或微处理器和异步通信通道的接口。由于两个时钟信号之间要求一定的建立和保持时间,所以,上述应用引进了附加的定时约束条件。它们也会要求将某些异步信号同步化。
5 k' w% @4 g# H. A) d& v2 ^$ B
# D) t* F; o5 K4 ?$ ^3 ]图11 给出一个多时钟系统的实例。CLK_A用以钟控REG_A,CLK_B用于钟控REG_B,由于REG_A驱动着进入REG_B的组合逻辑,故CLK_A 的上升沿相对于CLK_B的上升沿有建立时间和保持时间的要求。由于REG_B不驱动馈到REG_A的逻辑,CLK_B的上升沿相对于CLK_A没有建立时间的要求。此外,由于时钟的下降沿不影响触发器的状态,所以CLK_A和CLK_B的下降沿之间没有时间上的要求。,如图4,2.II所示,电路中有两个独立的时钟,可是,在它们之间的建立时间和保持时间的要求是不能保证的。在这种情况下,必须将电路同步化。图12 给出REG_A的值(如何在使用前)同CLK_B同步化。新的触发器REG_C由GLK_B触控,保证REG_G的输出符合REG_B的建立时间。然而,这个方法使输出延时了一个时钟周期。
- A4 m" e" E' T- Z; F
2 U! y9 \6 C, n. ]& z

% u8 k1 O: o& n$ O2 E* i) q* h
$ A0 |/ I! B  a: X

# b- p+ _& Q  ~7 _8 S; Y1 m

- l$ e' a. X, u$ m" W
- F$ [+ M1 Z% g7 w% [/ y

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_16.jpg

图ll 多时钟系统" K" O3 w& J: `* l0 a. e( `
(定时波形示出CLK_A的上升沿相对于CLK_B的上升沿有建立时间和保持时间的约束条件)


, @+ ^/ c+ r7 W3 F* r 

http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_17.jpg

图12 具有同步寄存器输出的多时钟系统! @3 B) Y7 w8 q5 L( {/ c, E
(如果CLK_A和CLK_B是相互独立的,则REG—A的输出必须在它馈送到1REG_B之前,用REG_C同步化)

+ U( l, u0 P9 y+ U4 x
 
6 r  y8 Z3 p# x在许多应用中只将异步信号同步化还是不够的,当系统中有两个或两个以上非同源时钟的时候,数据的建立和保持时间很难得到保证,我们将面临复杂的时间问题。最好的方法是将所有非同源时钟同步化。使用PLD内部的锁项环(PLL或DLL)是一个效果很好的方法,但不是所有PLD都带有PLL、DLL,而且带有 PLL功能的芯片大多价格昂贵,所以除非有特殊要求,一般场合可以不使用带PLL的PLD。 这时我们需要使用带使能端的D触发器,并引入一个高频时钟。

 http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_18.jpg

图13 不同源时钟


5 A6 b; S8 z; n如图13所示,系统有两个不同源时钟,一个为3MHz,一个为 5MHz,不同的触发器使用不同的时钟。为了系统稳定,我们引入一个20MHz时钟,将3M和5M时钟同步化,如图15所示。 20M的高频时钟将作为系统时钟,输入到所有触发器的的时钟端。3M_EN 和5M_EN将控制所有触发器的使能端。即原来接3M时钟的触发器,接20M时钟,同时3M_EN 将控制该触发器使能,原接5M时钟的触发器,也接20M时钟,同时5M_EN 将控制该触发器使能。 这样我们就可以将任何非同源时钟同步化。

! u) ~/ e% C' A/ A7 J7 H' j
http://image16.360doc.com/DownloadImg/2010/10/2417/6240652_19.jpg

图13 同步化任意非同源时钟

(一个DFF和后面非门,与门构成时钟上升沿检测电路)


, d$ v6 M% \1 o0 O. f

另外,异步信号输入总是无法满足数据的建立保持时间,容易使系统进入亚稳态,所以也建议设计者把所有异步输入都先经过双触发器进行同步化,详情可参阅这篇文章:Are Your PLD Metastable?


3 g9 y' l5 @) U% J/ Q2 A 
( x& Q% h/ ~* d, I2 q, |小结:稳定可靠的时钟是系统稳定可靠的重要条件,我们不能够将任何可能含有毛刺的输出作为时钟信号,并且尽可能只使用一个全局时钟,对多时钟系统要注意同步异步信号和非同源时钟。
回复

使用道具 举报

本版积分规则

QQ|一淘宝店|手机版|商店|一乐电子 ( 粤ICP备09076165号 ) 公安备案粤公网安备 44522102000183号

GMT+8, 2025-8-20 12:17 , Processed in 0.035406 second(s), 26 queries , Gzip On.

Powered by Discuz! X3.5

© 2001-2025 Discuz! Team.

快速回复 返回顶部 返回列表