一乐电子

一乐电子百科

 找回密码
 请使用微信账号登录和注册会员

QQ登录

只需一步,快速开始

快捷登录

手机号码,快捷登录

搜索
楼主: dradeng
收起左侧

[KIS 3R33] 单KIS 3R33白菜模块制作双路隔离DC电源成功

  [复制链接]
发表于 2010-8-28 09:48 | 显示全部楼层
回复 40# mkloveonbed


    不一样的,如果我要用在直流输入的场合变压器就不行了,而且体积不是一个级别的。
发表于 2010-8-28 10:14 | 显示全部楼层
本帖最后由 snoopy8008 于 2010-8-28 10:38 编辑

回复 37# benli

看这个图,MP2307自身工作电流很小,初始工作时,C2、R1、R2、D1、MP2307内部同步整流用的MOS,都可以为GND提供负电压,这样MP2307就得到了启动用的电压,略小于输入电压。
待启动后,SW3脚输出高电压,此时电感中电流由上至下……
         待SW3脚输出低电压时,电感中电流不能突变,于是通过D1以及MP2307内部用于同步整流的MOS形成回路,为C2充电,于是Vout和GND之间有了一个电压值,待稳定后,该电压值为3.3V(不改动模块情况下)。此时Vin与GND之间电压为 输入电压+输出电压。

如此往复,3脚高电压时电源给电感充磁、3脚低电压时电感给C2充电。




https://www.yleee.com.cn/attachments/forumid_65/10051610203c3cef0920b3eaee.jpg

1.jpg
2.jpg
3.jpg
发表于 2010-8-28 10:27 | 显示全部楼层
图中的表头是7107做的呢,还是m8做的那一种。看起来很不错
发表于 2010-8-28 11:25 | 显示全部楼层
好热闹,占楼学习。
发表于 2010-8-28 12:54 | 显示全部楼层
继续努力
 楼主| 发表于 2010-8-28 13:27 | 显示全部楼层
本帖最后由 dradeng 于 2010-8-28 13:46 编辑

在R2上并个电位器,能很方便调高输出电压,可以自动升降压了

比如刚实验了,8V及20V输入,14V0.3A输出,很稳定,效率也不错,应该高于80%

本来记录了2组输入输出的值,输入用的GY5210,电流显示误差太大,算了下效率超过100%
不过根据发热来判断,效率还是不错的。
 楼主| 发表于 2010-8-28 13:36 | 显示全部楼层
刚才我也胡乱搭起一个电路试了下,发现没有上电时的大电流冲击,也许是我的滤波电容小?我再试试看, ...
snoopy8008 发表于 2010-8-28 02:32 https://www.yleee.com.cn/images/common/back.gif



确认这个冲击电流跟输入电容有关,去掉电容,我的仪表就反映不出来了,

断开输入电源,输入电容上的电很快放完,再上电仍有冲击电流,

我这很容易判断:
输入端接了个M8的数字表头,接入电路的瞬间由于冲击电流,输入电压瞬间被拉低,M8数字表头失电就出现重启画面
 楼主| 发表于 2010-8-28 13:38 | 显示全部楼层
原电感双线并绕合在一起的那一端就是分开后两个线圈的同名端,麻烦老兄再确认下。   
这样属于反激 ...
benli 发表于 2010-8-28 02:21 https://www.yleee.com.cn/images/common/back.gif



    是这样,反激的
发表于 2010-8-28 22:35 | 显示全部楼层
完全明白了...
可惜手头没模块了...
风铃夜思雨 发表于 2010-8-28 02:07 https://www.yleee.com.cn/images/common/back.gif


我手上有,下次回高州或是你来茂名给你10个
发表于 2010-8-28 22:46 | 显示全部楼层
看这个图,MP2307自身工作电流很小,初始工作时,C2、R1、R2、D1、MP2307内部同步整流用的 ...
snoopy8008 发表于 2010-8-28 10:14 https://www.yleee.com.cn/images/common/back.gif



    谢谢解释!

本版积分规则

QQ|一淘宝店|手机版|商店|电子DIY套件|一乐电子 ( 粤ICP备09076165号 ) 公安备案粤公网安备 44522102000183号

GMT+8, 2024-4-26 13:27 , Processed in 0.053061 second(s), 32 queries , Gzip On.

Powered by Discuz! X3.4

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表